diff --git a/Devices/Console/Hardware/Circuit/Console.kicad_pcb b/Devices/Console/Hardware/Circuit/Console.kicad_pcb index 0452a05..4e8d663 100644 --- a/Devices/Console/Hardware/Circuit/Console.kicad_pcb +++ b/Devices/Console/Hardware/Circuit/Console.kicad_pcb @@ -5,8 +5,8 @@ (drawings 0) (tracks 0) (zones 0) - (modules 28) - (nets 38) + (modules 30) + (nets 41) ) (page A4) @@ -97,43 +97,46 @@ ) (net 0 "") - (net 1 "Net-(U1-Pad14)") - (net 2 "Net-(U1-Pad19)") - (net 3 "Net-(U1-Pad20)") - (net 4 "Net-(U1-Pad22)") - (net 5 GND) - (net 6 VCC) - (net 7 XTAL1) - (net 8 XTAL2) - (net 9 "Net-(D1-Pad2)") - (net 10 MOSI) - (net 11 SCK) - (net 12 MISO) - (net 13 RST) - (net 14 "Net-(J2-PadA6)") - (net 15 "Net-(J2-PadB7)") - (net 16 "Net-(J2-PadA5)") - (net 17 "Net-(J2-PadB8)") - (net 18 "Net-(J2-PadA7)") - (net 19 "Net-(J2-PadB6)") - (net 20 "Net-(J2-PadA8)") - (net 21 "Net-(J2-PadB5)") - (net 22 BTN4) - (net 23 BTN3) - (net 24 BTN2) - (net 25 BTN1) - (net 26 ENC4B) - (net 27 ENC4A) - (net 28 ENC3B) - (net 29 ENC3A) - (net 30 ENC2B) - (net 31 ENC2A) - (net 32 ENC1B) - (net 33 ENC1A) - (net 34 TX) - (net 35 RX) - (net 36 SDA) - (net 37 SCL) + (net 1 "Net-(U1-Pad19)") + (net 2 "Net-(U1-Pad20)") + (net 3 "Net-(U1-Pad22)") + (net 4 GND) + (net 5 VCC) + (net 6 XTAL1) + (net 7 XTAL2) + (net 8 "Net-(D1-Pad2)") + (net 9 MOSI) + (net 10 SCK) + (net 11 MISO) + (net 12 RST) + (net 13 "Net-(J2-PadA6)") + (net 14 "Net-(J2-PadB7)") + (net 15 "Net-(J2-PadA5)") + (net 16 "Net-(J2-PadB8)") + (net 17 "Net-(J2-PadA7)") + (net 18 "Net-(J2-PadB6)") + (net 19 "Net-(J2-PadA8)") + (net 20 "Net-(J2-PadB5)") + (net 21 BTN4) + (net 22 BTN3) + (net 23 BTN2) + (net 24 BTN1) + (net 25 ENC4B) + (net 26 ENC4A) + (net 27 ENC3B) + (net 28 ENC3A) + (net 29 ENC2B) + (net 30 ENC2A) + (net 31 ENC1B) + (net 32 ENC1A) + (net 33 TX) + (net 34 RX) + (net 35 SDA) + (net 36 SCL) + (net 37 "Net-(D2-Pad2)") + (net 38 LED) + (net 39 "Net-(U1-Pad3)") + (net 40 "Net-(U1-Pad6)") (net_class Default "This is the default net class." (clearance 0.2) @@ -155,9 +158,11 @@ (add_net ENC4A) (add_net ENC4B) (add_net GND) + (add_net LED) (add_net MISO) (add_net MOSI) (add_net "Net-(D1-Pad2)") + (add_net "Net-(D2-Pad2)") (add_net "Net-(J2-PadA5)") (add_net "Net-(J2-PadA6)") (add_net "Net-(J2-PadA7)") @@ -166,10 +171,11 @@ (add_net "Net-(J2-PadB6)") (add_net "Net-(J2-PadB7)") (add_net "Net-(J2-PadB8)") - (add_net "Net-(U1-Pad14)") (add_net "Net-(U1-Pad19)") (add_net "Net-(U1-Pad20)") (add_net "Net-(U1-Pad22)") + (add_net "Net-(U1-Pad3)") + (add_net "Net-(U1-Pad6)") (add_net RST) (add_net RX) (add_net SCK) @@ -193,28 +199,28 @@ (fp_text value 16MHz (at 0 3.55) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -5.7 -2.35) (end -5.7 2.35) (layer F.Fab) (width 0.1)) - (fp_line (start -5.7 2.35) (end 5.7 2.35) (layer F.Fab) (width 0.1)) - (fp_line (start 5.7 2.35) (end 5.7 -2.35) (layer F.Fab) (width 0.1)) - (fp_line (start 5.7 -2.35) (end -5.7 -2.35) (layer F.Fab) (width 0.1)) - (fp_line (start -3.015 -2.115) (end 3.015 -2.115) (layer F.Fab) (width 0.1)) - (fp_line (start -3.015 2.115) (end 3.015 2.115) (layer F.Fab) (width 0.1)) - (fp_line (start 5.9 -2.55) (end -10.075 -2.55) (layer F.SilkS) (width 0.12)) - (fp_line (start -10.075 -2.55) (end -10.075 2.55) (layer F.SilkS) (width 0.12)) - (fp_line (start -10.075 2.55) (end 5.9 2.55) (layer F.SilkS) (width 0.12)) - (fp_line (start -10.2 -2.6) (end -10.2 2.6) (layer F.CrtYd) (width 0.05)) - (fp_line (start -10.2 2.6) (end 10.2 2.6) (layer F.CrtYd) (width 0.05)) - (fp_line (start 10.2 2.6) (end 10.2 -2.6) (layer F.CrtYd) (width 0.05)) (fp_line (start 10.2 -2.6) (end -10.2 -2.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 10.2 2.6) (end 10.2 -2.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -10.2 2.6) (end 10.2 2.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -10.2 -2.6) (end -10.2 2.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -10.075 2.55) (end 5.9 2.55) (layer F.SilkS) (width 0.12)) + (fp_line (start -10.075 -2.55) (end -10.075 2.55) (layer F.SilkS) (width 0.12)) + (fp_line (start 5.9 -2.55) (end -10.075 -2.55) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.015 2.115) (end 3.015 2.115) (layer F.Fab) (width 0.1)) + (fp_line (start -3.015 -2.115) (end 3.015 -2.115) (layer F.Fab) (width 0.1)) + (fp_line (start 5.7 -2.35) (end -5.7 -2.35) (layer F.Fab) (width 0.1)) + (fp_line (start 5.7 2.35) (end 5.7 -2.35) (layer F.Fab) (width 0.1)) + (fp_line (start -5.7 2.35) (end 5.7 2.35) (layer F.Fab) (width 0.1)) + (fp_line (start -5.7 -2.35) (end -5.7 2.35) (layer F.Fab) (width 0.1)) (fp_arc (start 3.015 0) (end 3.015 -2.115) (angle 180) (layer F.Fab) (width 0.1)) (fp_arc (start -3.015 0) (end -3.015 -2.115) (angle -180) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (pad 2 smd rect (at 5.9375 0) (size 7.875 2) (layers F.Cu F.Paste F.Mask) - (net 8 XTAL2)) + (net 7 XTAL2)) (pad 1 smd rect (at -5.9375 0) (size 7.875 2) (layers F.Cu F.Paste F.Mask) - (net 7 XTAL1)) + (net 6 XTAL1)) (model ${KISYS3DMOD}/Crystal.3dshapes/Crystal_SMD_HC49-SD.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -234,6 +240,285 @@ (fp_text value SolderJumper (at 0 1.9) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) + (fp_line (start 1.65 1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -1) (end 1.4 -1) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.4 -1) (end 1.4 1) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.4 1) (end -1.4 1) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 1) (end -1.4 -1) (layer F.SilkS) (width 0.12)) + (pad 1 smd rect (at -0.65 0) (size 1 1.5) (layers F.Cu F.Mask) + (net 35 SDA)) + (pad 2 smd rect (at 0.65 0) (size 1 1.5) (layers F.Cu F.Mask) + (net 13 "Net-(J2-PadA6)")) + ) + + (module Bourns-PEC11R-4220F-S0012:Bourns-PEC11R-4220F-S0012-MFG (layer F.Cu) (tedit 611258C1) (tstamp 6112C981) + (at 93.98 34.29) + (path /6112A1C1) + (fp_text reference SW1 (at -6.5 -8.65) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify left)) + ) + (fp_text value PEC11R-4220F-S0012 (at 0 0) (layer F.SilkS) + (effects (font (size 1.27 1.27) (thickness 0.15))) + ) + (fp_circle (center -2.5 -8.325) (end -2.375 -8.325) (layer F.SilkS) (width 0.25)) + (fp_line (start -6.5 -2.875) (end -6.5 -6.95) (layer F.SilkS) (width 0.15)) + (fp_line (start -6.5 6.95) (end -6.5 2.875) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.65 6.95) (end 6.5 6.95) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.15 6.95) (end 1.35 6.95) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.35 6.95) (end -1.15 6.95) (layer F.SilkS) (width 0.15)) + (fp_line (start -6.5 6.95) (end -3.65 6.95) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.5 -2.875) (end 6.5 -6.95) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.5 6.95) (end 6.5 2.875) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.65 -6.95) (end 6.5 -6.95) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.35 -6.95) (end 1.35 -6.95) (layer F.SilkS) (width 0.15)) + (fp_line (start -6.5 -6.95) (end -3.65 -6.95) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.925 8.3) (end 6.925 -7.8) (layer F.CrtYd) (width 0.15)) + (fp_line (start -6.925 8.3) (end 6.925 8.3) (layer F.CrtYd) (width 0.15)) + (fp_line (start -6.925 -7.8) (end -6.925 8.3) (layer F.CrtYd) (width 0.15)) + (fp_line (start 6.925 -7.8) (end -6.925 -7.8) (layer F.CrtYd) (width 0.15)) + (fp_line (start 6.925 -7.8) (end 6.925 -7.8) (layer F.CrtYd) (width 0.15)) + (fp_line (start 6.5 6.95) (end -6.5 6.95) (layer F.Fab) (width 0.15)) + (fp_line (start 6.5 -6.95) (end 6.5 6.95) (layer F.Fab) (width 0.15)) + (fp_line (start -6.5 -6.95) (end 6.5 -6.95) (layer F.Fab) (width 0.15)) + (fp_line (start -6.5 6.95) (end -6.5 -6.95) (layer F.Fab) (width 0.15)) + (pad S1 thru_hole rect (at -2.5 -7) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 4 GND)) + (pad S2 thru_hole circle (at 2.5 -7) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 24 BTN1)) + (pad A thru_hole circle (at -2.5 7.5) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 32 ENC1A)) + (pad C thru_hole circle (at 0 7.5) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 4 GND)) + (pad B thru_hole circle (at 2.5 7.5) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 31 ENC1B)) + (pad 3 thru_hole roundrect (at -5.7 0) (size 2.4 5) (drill oval 1.5 2.8) (layers *.Cu *.Mask) (roundrect_rratio 0.5)) + (pad 4 thru_hole roundrect (at 5.7 0) (size 2.4 5) (drill oval 1.5 2.8) (layers *.Cu *.Mask) (roundrect_rratio 0.5)) + (model ${KIPRJMOD}/Libraries/Bourns-PEC11R-4220F-S0012.models/Bourns_-_PEC11R-4220F-S0012.step + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module AVR-ISP:AVR-ISP (layer F.Cu) (tedit 61126B73) (tstamp 6112DBCD) + (at 139.446 69.342) + (path /61134728) + (fp_text reference J1 (at 0 0) (layer F.SilkS) + (effects (font (size 0.787402 0.787402) (thickness 0.15))) + ) + (fp_text value AVR-ISP-6 (at 0 0) (layer F.Fab) + (effects (font (size 0.787402 0.787402) (thickness 0.15))) + ) + (fp_line (start -3.81 -2.54) (end 3.81 -2.54) (layer F.SilkS) (width 0.127)) + (fp_line (start 3.81 -2.54) (end 3.81 2.54) (layer F.SilkS) (width 0.127)) + (fp_line (start 3.81 2.54) (end -3.81 2.54) (layer F.SilkS) (width 0.127)) + (fp_line (start -3.81 2.54) (end -3.81 -2.54) (layer F.SilkS) (width 0.127)) + (fp_circle (center -4.064 2.794) (end -3.964 2.794) (layer F.SilkS) (width 0.2)) + (fp_text user ICSP (at 0 -3.302) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 4 smd circle (at 0 -1.27) (size 1.308 1.308) (layers F.Cu F.Paste F.Mask) + (net 9 MOSI)) + (pad 3 smd circle (at 0 1.27) (size 1.308 1.308) (layers F.Cu F.Paste F.Mask) + (net 10 SCK)) + (pad 2 smd circle (at -2.54 -1.27) (size 1.308 1.308) (layers F.Cu F.Paste F.Mask) + (net 5 VCC)) + (pad 1 smd circle (at -2.54 1.27) (size 1.308 1.308) (layers F.Cu F.Paste F.Mask) + (net 11 MISO)) + (pad 5 smd circle (at 2.54 1.27) (size 1.308 1.308) (layers F.Cu F.Paste F.Mask) + (net 12 RST)) + (pad 6 smd circle (at 2.54 -1.27) (size 1.308 1.308) (layers F.Cu F.Paste F.Mask) + (net 4 GND)) + ) + + (module HRO_TYPE-C-31-M-12:HRO_TYPE-C-31-M-12 (layer F.Cu) (tedit 6112D184) (tstamp 6112D3E1) + (at 145.796 80.772) + (path /6112D89A) + (fp_text reference J2 (at -1.825 -7.435) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value TYPE-C-31-M-12 (at 6.43 4.135) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_circle (center -3.4 -6.4) (end -3.3 -6.4) (layer F.SilkS) (width 0.2)) + (fp_circle (center -3.4 -6.4) (end -3.3 -6.4) (layer F.Fab) (width 0.2)) + (fp_line (start -5.095 -6.07) (end -5.095 2.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.095 -6.07) (end -5.095 -6.07) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.095 2.85) (end 5.095 -6.07) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.095 2.85) (end 5.095 2.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.47 2.6) (end -4.47 1.37) (layer F.SilkS) (width 0.127)) + (fp_line (start 4.47 2.6) (end -4.47 2.6) (layer F.SilkS) (width 0.127)) + (fp_line (start 4.47 1.37) (end 4.47 2.6) (layer F.SilkS) (width 0.127)) + (fp_line (start 4.47 -2.81) (end 4.47 -1.37) (layer F.SilkS) (width 0.127)) + (fp_line (start -4.47 -2.81) (end -4.47 -1.37) (layer F.SilkS) (width 0.127)) + (fp_line (start -4.47 -4.7) (end -4.47 2.6) (layer F.Fab) (width 0.127)) + (fp_line (start 4.47 -4.7) (end -4.47 -4.7) (layer F.Fab) (width 0.127)) + (fp_line (start 4.47 2.6) (end 4.47 -4.7) (layer F.Fab) (width 0.127)) + (fp_line (start -4.47 2.6) (end 4.47 2.6) (layer F.Fab) (width 0.127)) + (pad A1B12 smd rect (at -3.25 -5.095) (size 0.6 1.45) (layers F.Cu F.Paste F.Mask) + (net 4 GND)) + (pad A4B9 smd rect (at -2.45 -5.095) (size 0.6 1.45) (layers F.Cu F.Paste F.Mask) + (net 5 VCC)) + (pad A6 smd rect (at -0.25 -5.095) (size 0.3 1.45) (layers F.Cu F.Paste F.Mask) + (net 13 "Net-(J2-PadA6)")) + (pad B7 smd rect (at -0.75 -5.095) (size 0.3 1.45) (layers F.Cu F.Paste F.Mask) + (net 14 "Net-(J2-PadB7)")) + (pad A5 smd rect (at -1.25 -5.095) (size 0.3 1.45) (layers F.Cu F.Paste F.Mask) + (net 15 "Net-(J2-PadA5)")) + (pad B8 smd rect (at -1.75 -5.095) (size 0.3 1.45) (layers F.Cu F.Paste F.Mask) + (net 16 "Net-(J2-PadB8)")) + (pad A7 smd rect (at 0.25 -5.095) (size 0.3 1.45) (layers F.Cu F.Paste F.Mask) + (net 17 "Net-(J2-PadA7)")) + (pad B6 smd rect (at 0.75 -5.095) (size 0.3 1.45) (layers F.Cu F.Paste F.Mask) + (net 18 "Net-(J2-PadB6)")) + (pad A8 smd rect (at 1.25 -5.095) (size 0.3 1.45) (layers F.Cu F.Paste F.Mask) + (net 19 "Net-(J2-PadA8)")) + (pad B5 smd rect (at 1.75 -5.095) (size 0.3 1.45) (layers F.Cu F.Paste F.Mask) + (net 20 "Net-(J2-PadB5)")) + (pad B4A9 smd rect (at 2.45 -5.095) (size 0.6 1.45) (layers F.Cu F.Paste F.Mask) + (net 5 VCC)) + (pad B1A12 smd rect (at 3.25 -5.095) (size 0.6 1.45) (layers F.Cu F.Paste F.Mask) + (net 4 GND)) + (pad S1 thru_hole oval (at -4.32 -4.18) (size 1.05 2.1) (drill oval 0.65 1.75) (layers *.Cu *.Mask) + (net 4 GND)) + (pad S2 thru_hole oval (at 4.32 -4.18) (size 1.05 2.1) (drill oval 0.65 1.75) (layers *.Cu *.Mask) + (net 4 GND)) + (pad S3 thru_hole oval (at -4.32 0) (size 1.05 2.1) (drill oval 0.65 1.25) (layers *.Cu *.Mask) + (net 4 GND)) + (pad S4 thru_hole oval (at 4.32 0) (size 1.05 2.1) (drill oval 0.65 1.25) (layers *.Cu *.Mask) + (net 4 GND)) + (pad None np_thru_hole circle (at -2.89 -3.65) (size 0.7 0.7) (drill 0.7) (layers *.Cu *.Mask)) + (pad None np_thru_hole circle (at 2.89 -3.65) (size 0.7 0.7) (drill 0.7) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/Libraries/HRO_TYPE-C-31-M-12.models/TYPE-C-31-M-12.step + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 0)) + ) + ) + + (module Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder (layer F.Cu) (tedit 5F68FEEF) (tstamp 61133BF5) + (at 104.013001 44.863001) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (path /6113DC2F) + (attr smd) + (fp_text reference C1 (at 0 -1.68) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 22p (at 0 1.68) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.1)) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.88 0.98) (end -1.88 -0.98) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.88 -0.98) (end 1.88 -0.98) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.88 -0.98) (end 1.88 0.98) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.88 0.98) (end -1.88 0.98) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08))) + ) + (pad 1 smd roundrect (at -1.0375 0) (size 1.175 1.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.212766) + (net 6 XTAL1)) + (pad 2 smd roundrect (at 1.0375 0) (size 1.175 1.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.212766) + (net 4 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder (layer F.Cu) (tedit 5F68FEEF) (tstamp 61133C06) + (at 108.823001 44.863001) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (path /611448F1) + (attr smd) + (fp_text reference C2 (at 0 -1.68) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 22p (at 0 1.68) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.88 0.98) (end -1.88 0.98) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.88 -0.98) (end 1.88 0.98) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.88 -0.98) (end 1.88 -0.98) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.88 0.98) (end -1.88 -0.98) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08))) + ) + (pad 2 smd roundrect (at 1.0375 0) (size 1.175 1.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.212766) + (net 4 GND)) + (pad 1 smd roundrect (at -1.0375 0) (size 1.175 1.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.212766) + (net 7 XTAL2)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder (layer F.Cu) (tedit 5F68FEEF) (tstamp 61133C17) + (at 104.013001 47.873001) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (path /6115564D) + (attr smd) + (fp_text reference C3 (at 0 -1.68) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 100n (at 0 1.68) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.1)) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.88 0.98) (end -1.88 -0.98) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.88 -0.98) (end 1.88 -0.98) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.88 -0.98) (end 1.88 0.98) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.88 0.98) (end -1.88 0.98) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08))) + ) + (pad 1 smd roundrect (at -1.0375 0) (size 1.175 1.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.212766) + (net 5 VCC)) + (pad 2 smd roundrect (at 1.0375 0) (size 1.175 1.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.212766) + (net 4 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Jumper:SolderJumper-2_P1.3mm_Open_Pad1.0x1.5mm (layer F.Cu) (tedit 5A3EABFC) (tstamp 61133C33) + (at 112.943001 48.143001) + (descr "SMD Solder Jumper, 1x1.5mm Pads, 0.3mm gap, open") + (tags "solder jumper open") + (path /6118262C) + (attr virtual) + (fp_text reference JP3 (at 0 -1.8) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value SolderJumper (at 0 1.9) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) (fp_line (start -1.4 1) (end -1.4 -1) (layer F.SilkS) (width 0.12)) (fp_line (start 1.4 1) (end -1.4 1) (layer F.SilkS) (width 0.12)) (fp_line (start 1.4 -1) (end 1.4 1) (layer F.SilkS) (width 0.12)) @@ -242,16 +527,153 @@ (fp_line (start -1.65 -1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.65 1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.65 1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) - (pad 1 smd rect (at -0.65 0) (size 1 1.5) (layers F.Cu F.Mask) - (net 36 SDA)) (pad 2 smd rect (at 0.65 0) (size 1 1.5) (layers F.Cu F.Mask) - (net 14 "Net-(J2-PadA6)")) + (net 17 "Net-(J2-PadA7)")) + (pad 1 smd rect (at -0.65 0) (size 1 1.5) (layers F.Cu F.Mask) + (net 36 SCL)) ) - (module Bourns-PEC11R-4220F-S0012:Bourns-PEC11R-4220F-S0012-MFG (layer F.Cu) (tedit 611258C1) (tstamp 6112C981) - (at 93.98 34.29) - (path /6112A1C1) - (fp_text reference SW1 (at -6.5 -8.65) (layer F.SilkS) + (module Jumper:SolderJumper-2_P1.3mm_Open_Pad1.0x1.5mm (layer F.Cu) (tedit 5A3EABFC) (tstamp 61133C79) + (at 121.643001 48.683001) + (descr "SMD Solder Jumper, 1x1.5mm Pads, 0.3mm gap, open") + (tags "solder jumper open") + (path /611A3587) + (attr virtual) + (fp_text reference JP8 (at 0 -1.8) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value SolderJumper (at 0 1.9) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.4 1) (end -1.4 -1) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.4 1) (end -1.4 1) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.4 -1) (end 1.4 1) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -1) (end 1.4 -1) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.65 -1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) + (pad 2 smd rect (at 0.65 0) (size 1 1.5) (layers F.Cu F.Mask) + (net 36 SCL)) + (pad 1 smd rect (at -0.65 0) (size 1 1.5) (layers F.Cu F.Mask) + (net 14 "Net-(J2-PadB7)")) + ) + + (module Jumper:SolderJumper-2_P1.3mm_Open_Pad1.0x1.5mm (layer F.Cu) (tedit 5A3EABFC) (tstamp 61133C95) + (at 130.343001 48.683001) + (descr "SMD Solder Jumper, 1x1.5mm Pads, 0.3mm gap, open") + (tags "solder jumper open") + (path /611A3577) + (attr virtual) + (fp_text reference JP10 (at 0 -1.8) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value SolderJumper (at 0 1.9) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.4 1) (end -1.4 -1) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.4 1) (end -1.4 1) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.4 -1) (end 1.4 1) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -1) (end 1.4 -1) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.65 -1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) + (pad 2 smd rect (at 0.65 0) (size 1 1.5) (layers F.Cu F.Mask) + (net 35 SDA)) + (pad 1 smd rect (at -0.65 0) (size 1 1.5) (layers F.Cu F.Mask) + (net 18 "Net-(J2-PadB6)")) + ) + + (module Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder (layer F.Cu) (tedit 5F68FEEE) (tstamp 61133CA6) + (at 113.603001 44.833001) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /611669B4) + (attr smd) + (fp_text reference R1 (at 0 -1.65) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10k (at 0 1.65) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.1)) + (fp_line (start -0.227064 -0.735) (end 0.227064 -0.735) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.227064 0.735) (end 0.227064 0.735) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.85 0.95) (end -1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.85 -0.95) (end 1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 -0.95) (end 1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 0.95) (end -1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08))) + ) + (pad 1 smd roundrect (at -1 0) (size 1.2 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.208333) + (net 12 RST)) + (pad 2 smd roundrect (at 1 0) (size 1.2 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.208333) + (net 5 VCC)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Bourns-PEC11R-4220F-S0012:Bourns-PEC11R-4220F-S0012-MFG (layer F.Cu) (tedit 611258C1) (tstamp 61133CC6) + (at 109.108001 34.483001) + (path /611CC8B9) + (fp_text reference SW2 (at -6.5 -8.65) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify left)) + ) + (fp_text value PEC11R-4220F-S0012 (at 0 0) (layer F.SilkS) + (effects (font (size 1.27 1.27) (thickness 0.15))) + ) + (fp_circle (center -2.5 -8.325) (end -2.375 -8.325) (layer F.SilkS) (width 0.25)) + (fp_line (start -6.5 -2.875) (end -6.5 -6.95) (layer F.SilkS) (width 0.15)) + (fp_line (start -6.5 6.95) (end -6.5 2.875) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.65 6.95) (end 6.5 6.95) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.15 6.95) (end 1.35 6.95) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.35 6.95) (end -1.15 6.95) (layer F.SilkS) (width 0.15)) + (fp_line (start -6.5 6.95) (end -3.65 6.95) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.5 -2.875) (end 6.5 -6.95) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.5 6.95) (end 6.5 2.875) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.65 -6.95) (end 6.5 -6.95) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.35 -6.95) (end 1.35 -6.95) (layer F.SilkS) (width 0.15)) + (fp_line (start -6.5 -6.95) (end -3.65 -6.95) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.925 8.3) (end 6.925 -7.8) (layer F.CrtYd) (width 0.15)) + (fp_line (start -6.925 8.3) (end 6.925 8.3) (layer F.CrtYd) (width 0.15)) + (fp_line (start -6.925 -7.8) (end -6.925 8.3) (layer F.CrtYd) (width 0.15)) + (fp_line (start 6.925 -7.8) (end -6.925 -7.8) (layer F.CrtYd) (width 0.15)) + (fp_line (start 6.925 -7.8) (end 6.925 -7.8) (layer F.CrtYd) (width 0.15)) + (fp_line (start 6.5 6.95) (end -6.5 6.95) (layer F.Fab) (width 0.15)) + (fp_line (start 6.5 -6.95) (end 6.5 6.95) (layer F.Fab) (width 0.15)) + (fp_line (start -6.5 -6.95) (end 6.5 -6.95) (layer F.Fab) (width 0.15)) + (fp_line (start -6.5 6.95) (end -6.5 -6.95) (layer F.Fab) (width 0.15)) + (pad S1 thru_hole rect (at -2.5 -7) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 4 GND)) + (pad S2 thru_hole circle (at 2.5 -7) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 23 BTN2)) + (pad A thru_hole circle (at -2.5 7.5) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 30 ENC2A)) + (pad C thru_hole circle (at 0 7.5) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 4 GND)) + (pad B thru_hole circle (at 2.5 7.5) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 29 ENC2B)) + (pad 3 thru_hole roundrect (at -5.7 0) (size 2.4 5) (drill oval 1.5 2.8) (layers *.Cu *.Mask) (roundrect_rratio 0.5)) + (pad 4 thru_hole roundrect (at 5.7 0) (size 2.4 5) (drill oval 1.5 2.8) (layers *.Cu *.Mask) (roundrect_rratio 0.5)) + (model ${KIPRJMOD}/Libraries/Bourns-PEC11R-4220F-S0012.models/Bourns_-_PEC11R-4220F-S0012.step + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Bourns-PEC11R-4220F-S0012:Bourns-PEC11R-4220F-S0012-MFG (layer F.Cu) (tedit 611258C1) (tstamp 61133CE6) + (at 124.108001 34.483001) + (path /611CDF12) + (fp_text reference SW3 (at -6.5 -8.65) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (fp_text value PEC11R-4220F-S0012 (at 0 0) (layer F.SilkS) @@ -278,16 +700,70 @@ (fp_line (start -6.5 6.95) (end -6.5 2.875) (layer F.SilkS) (width 0.15)) (fp_line (start -6.5 -2.875) (end -6.5 -6.95) (layer F.SilkS) (width 0.15)) (fp_circle (center -2.5 -8.325) (end -2.375 -8.325) (layer F.SilkS) (width 0.25)) - (pad S1 thru_hole rect (at -2.5 -7) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) - (net 5 GND)) - (pad S2 thru_hole circle (at 2.5 -7) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) - (net 25 BTN1)) - (pad A thru_hole circle (at -2.5 7.5) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) - (net 33 ENC1A)) - (pad C thru_hole circle (at 0 7.5) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) - (net 5 GND)) + (pad 4 thru_hole roundrect (at 5.7 0) (size 2.4 5) (drill oval 1.5 2.8) (layers *.Cu *.Mask) (roundrect_rratio 0.5)) + (pad 3 thru_hole roundrect (at -5.7 0) (size 2.4 5) (drill oval 1.5 2.8) (layers *.Cu *.Mask) (roundrect_rratio 0.5)) (pad B thru_hole circle (at 2.5 7.5) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) - (net 32 ENC1B)) + (net 27 ENC3B)) + (pad C thru_hole circle (at 0 7.5) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 4 GND)) + (pad A thru_hole circle (at -2.5 7.5) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 28 ENC3A)) + (pad S2 thru_hole circle (at 2.5 -7) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 22 BTN3)) + (pad S1 thru_hole rect (at -2.5 -7) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 4 GND)) + (model eec.models/Bourns_-_PEC11R-4220F-S0012.step + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model ${KIPRJMOD}/Libraries/Bourns-PEC11R-4220F-S0012.models/Bourns_-_PEC11R-4220F-S0012.step + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Bourns-PEC11R-4220F-S0012:Bourns-PEC11R-4220F-S0012-MFG (layer F.Cu) (tedit 611258C1) (tstamp 61133D06) + (at 139.108001 34.483001) + (path /611CEA4E) + (fp_text reference SW4 (at -6.5 -8.65) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify left)) + ) + (fp_text value PEC11R-4220F-S0012 (at 0 0) (layer F.SilkS) + (effects (font (size 1.27 1.27) (thickness 0.15))) + ) + (fp_circle (center -2.5 -8.325) (end -2.375 -8.325) (layer F.SilkS) (width 0.25)) + (fp_line (start -6.5 -2.875) (end -6.5 -6.95) (layer F.SilkS) (width 0.15)) + (fp_line (start -6.5 6.95) (end -6.5 2.875) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.65 6.95) (end 6.5 6.95) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.15 6.95) (end 1.35 6.95) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.35 6.95) (end -1.15 6.95) (layer F.SilkS) (width 0.15)) + (fp_line (start -6.5 6.95) (end -3.65 6.95) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.5 -2.875) (end 6.5 -6.95) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.5 6.95) (end 6.5 2.875) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.65 -6.95) (end 6.5 -6.95) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.35 -6.95) (end 1.35 -6.95) (layer F.SilkS) (width 0.15)) + (fp_line (start -6.5 -6.95) (end -3.65 -6.95) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.925 8.3) (end 6.925 -7.8) (layer F.CrtYd) (width 0.15)) + (fp_line (start -6.925 8.3) (end 6.925 8.3) (layer F.CrtYd) (width 0.15)) + (fp_line (start -6.925 -7.8) (end -6.925 8.3) (layer F.CrtYd) (width 0.15)) + (fp_line (start 6.925 -7.8) (end -6.925 -7.8) (layer F.CrtYd) (width 0.15)) + (fp_line (start 6.925 -7.8) (end 6.925 -7.8) (layer F.CrtYd) (width 0.15)) + (fp_line (start 6.5 6.95) (end -6.5 6.95) (layer F.Fab) (width 0.15)) + (fp_line (start 6.5 -6.95) (end 6.5 6.95) (layer F.Fab) (width 0.15)) + (fp_line (start -6.5 -6.95) (end 6.5 -6.95) (layer F.Fab) (width 0.15)) + (fp_line (start -6.5 6.95) (end -6.5 -6.95) (layer F.Fab) (width 0.15)) + (pad S1 thru_hole rect (at -2.5 -7) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 4 GND)) + (pad S2 thru_hole circle (at 2.5 -7) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 21 BTN4)) + (pad A thru_hole circle (at -2.5 7.5) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 26 ENC4A)) + (pad C thru_hole circle (at 0 7.5) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 4 GND)) + (pad B thru_hole circle (at 2.5 7.5) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 25 ENC4B)) (pad 3 thru_hole roundrect (at -5.7 0) (size 2.4 5) (drill oval 1.5 2.8) (layers *.Cu *.Mask) (roundrect_rratio 0.5)) (pad 4 thru_hole roundrect (at 5.7 0) (size 2.4 5) (drill oval 1.5 2.8) (layers *.Cu *.Mask) (roundrect_rratio 0.5)) (model ${KIPRJMOD}/Libraries/Bourns-PEC11R-4220F-S0012.models/Bourns_-_PEC11R-4220F-S0012.step @@ -297,16 +773,524 @@ ) ) - (module Package_QFP:TQFP-32_7x7mm_P0.8mm (layer F.Cu) (tedit 5A02F146) (tstamp 6112C9B8) - (at 125.989001 77.411001) + (module Connector_PinHeader_1.00mm:PinHeader_1x13_P1.00mm_Vertical (layer F.Cu) (tedit 59FED738) (tstamp 611344A2) + (at 159.258 35.306) + (descr "Through hole straight pin header, 1x13, 1.00mm pitch, single row") + (tags "Through hole pin header THT 1x13 1.00mm single row") + (path /61285557) + (fp_text reference J3 (at 0 -1.56) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Conn_01x13 (at 0 13.56) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.3175 -0.5) (end 0.635 -0.5) (layer F.Fab) (width 0.1)) + (fp_line (start 0.635 -0.5) (end 0.635 12.5) (layer F.Fab) (width 0.1)) + (fp_line (start 0.635 12.5) (end -0.635 12.5) (layer F.Fab) (width 0.1)) + (fp_line (start -0.635 12.5) (end -0.635 -0.1825) (layer F.Fab) (width 0.1)) + (fp_line (start -0.635 -0.1825) (end -0.3175 -0.5) (layer F.Fab) (width 0.1)) + (fp_line (start -0.695 12.56) (end -0.394493 12.56) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.394493 12.56) (end 0.695 12.56) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.695 0.685) (end -0.695 12.56) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.695 0.685) (end 0.695 12.56) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.695 0.685) (end -0.608276 0.685) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.608276 0.685) (end 0.695 0.685) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.695 0) (end -0.695 -0.685) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.695 -0.685) (end 0 -0.685) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.15 -1) (end -1.15 13) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.15 13) (end 1.15 13) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.15 13) (end 1.15 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.15 -1) (end -1.15 -1) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 6 90) (layer F.Fab) + (effects (font (size 0.76 0.76) (thickness 0.114))) + ) + (pad 13 thru_hole oval (at 0 12) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) + (net 21 BTN4)) + (pad 12 thru_hole oval (at 0 11) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) + (net 22 BTN3)) + (pad 11 thru_hole oval (at 0 10) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) + (net 23 BTN2)) + (pad 10 thru_hole oval (at 0 9) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) + (net 24 BTN1)) + (pad 9 thru_hole oval (at 0 8) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) + (net 25 ENC4B)) + (pad 8 thru_hole oval (at 0 7) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) + (net 26 ENC4A)) + (pad 7 thru_hole oval (at 0 6) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) + (net 27 ENC3B)) + (pad 6 thru_hole oval (at 0 5) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) + (net 28 ENC3A)) + (pad 5 thru_hole oval (at 0 4) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) + (net 29 ENC2B)) + (pad 4 thru_hole oval (at 0 3) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) + (net 30 ENC2A)) + (pad 3 thru_hole oval (at 0 2) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) + (net 31 ENC1B)) + (pad 2 thru_hole oval (at 0 1) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) + (net 32 ENC1A)) + (pad 1 thru_hole rect (at 0 0) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) + (net 4 GND)) + (model ${KISYS3DMOD}/Connector_PinHeader_1.00mm.3dshapes/PinHeader_1x13_P1.00mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_PinHeader_1.00mm:PinHeader_1x04_P1.00mm_Vertical (layer F.Cu) (tedit 59FED738) (tstamp 61134944) + (at 160.687001 55.381001) + (descr "Through hole straight pin header, 1x04, 1.00mm pitch, single row") + (tags "Through hole pin header THT 1x04 1.00mm single row") + (path /613031C0) + (fp_text reference J4 (at 0 -1.56) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Conn_01x04 (at 0 4.56) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.3175 -0.5) (end 0.635 -0.5) (layer F.Fab) (width 0.1)) + (fp_line (start 0.635 -0.5) (end 0.635 3.5) (layer F.Fab) (width 0.1)) + (fp_line (start 0.635 3.5) (end -0.635 3.5) (layer F.Fab) (width 0.1)) + (fp_line (start -0.635 3.5) (end -0.635 -0.1825) (layer F.Fab) (width 0.1)) + (fp_line (start -0.635 -0.1825) (end -0.3175 -0.5) (layer F.Fab) (width 0.1)) + (fp_line (start -0.695 3.56) (end -0.394493 3.56) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.394493 3.56) (end 0.695 3.56) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.695 0.685) (end -0.695 3.56) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.695 0.685) (end 0.695 3.56) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.695 0.685) (end -0.608276 0.685) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.608276 0.685) (end 0.695 0.685) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.695 0) (end -0.695 -0.685) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.695 -0.685) (end 0 -0.685) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.15 -1) (end -1.15 4) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.15 4) (end 1.15 4) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.15 4) (end 1.15 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.15 -1) (end -1.15 -1) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 1.5 90) (layer F.Fab) + (effects (font (size 0.76 0.76) (thickness 0.114))) + ) + (pad 4 thru_hole oval (at 0 3) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) + (net 12 RST)) + (pad 3 thru_hole oval (at 0 2) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) + (net 33 TX)) + (pad 2 thru_hole oval (at 0 1) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) + (net 34 RX)) + (pad 1 thru_hole rect (at 0 0) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) + (net 4 GND)) + (model ${KISYS3DMOD}/Connector_PinHeader_1.00mm.3dshapes/PinHeader_1x04_P1.00mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_PinHeader_1.00mm:PinHeader_1x04_P1.00mm_Vertical (layer F.Cu) (tedit 59FED738) (tstamp 611348F9) + (at 164.037001 55.381001) + (descr "Through hole straight pin header, 1x04, 1.00mm pitch, single row") + (tags "Through hole pin header THT 1x04 1.00mm single row") + (path /613021A3) + (fp_text reference J5 (at 0 -1.56) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Conn_01x04 (at 0 4.56) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.15 -1) (end -1.15 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.15 4) (end 1.15 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.15 4) (end 1.15 4) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.15 -1) (end -1.15 4) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.695 -0.685) (end 0 -0.685) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.695 0) (end -0.695 -0.685) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.608276 0.685) (end 0.695 0.685) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.695 0.685) (end -0.608276 0.685) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.695 0.685) (end 0.695 3.56) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.695 0.685) (end -0.695 3.56) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.394493 3.56) (end 0.695 3.56) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.695 3.56) (end -0.394493 3.56) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.635 -0.1825) (end -0.3175 -0.5) (layer F.Fab) (width 0.1)) + (fp_line (start -0.635 3.5) (end -0.635 -0.1825) (layer F.Fab) (width 0.1)) + (fp_line (start 0.635 3.5) (end -0.635 3.5) (layer F.Fab) (width 0.1)) + (fp_line (start 0.635 -0.5) (end 0.635 3.5) (layer F.Fab) (width 0.1)) + (fp_line (start -0.3175 -0.5) (end 0.635 -0.5) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 1.5 90) (layer F.Fab) + (effects (font (size 0.76 0.76) (thickness 0.114))) + ) + (pad 1 thru_hole rect (at 0 0) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) + (net 4 GND)) + (pad 2 thru_hole oval (at 0 1) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) + (net 35 SDA)) + (pad 3 thru_hole oval (at 0 2) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) + (net 36 SCL)) + (pad 4 thru_hole oval (at 0 3) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) + (net 5 VCC)) + (model ${KISYS3DMOD}/Connector_PinHeader_1.00mm.3dshapes/PinHeader_1x04_P1.00mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module LED_SMD:LED_0805_2012Metric_Castellated (layer F.Cu) (tedit 5F68FEF1) (tstamp 61139289) + (at 102.021001 56.088001) + (descr "LED SMD 0805 (2012 Metric), castellated end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "LED castellated") + (path /6113FB23) + (attr smd) + (fp_text reference D1 (at 0 -1.6) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 17-21/BHC-XL2M2TY/3T (at 0 1.6) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.91) (end -1.885 -0.91) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.885 -0.91) (end -1.885 0.91) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.885 0.91) (end 1 0.91) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.88 0.9) (end -1.88 -0.9) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.88 -0.9) (end 1.88 -0.9) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.88 -0.9) (end 1.88 0.9) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.88 0.9) (end -1.88 0.9) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08))) + ) + (pad 1 smd roundrect (at -0.9625 0) (size 1.325 1.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.192308) + (net 4 GND)) + (pad 2 smd roundrect (at 0.9625 0) (size 1.325 1.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.192308) + (net 8 "Net-(D1-Pad2)")) + (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0805_2012Metric_Castellated.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder (layer F.Cu) (tedit 5F68FEEE) (tstamp 611392F4) + (at 101.951001 59.033001) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /6114AFDD) + (attr smd) + (fp_text reference R2 (at 0 -1.65) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 200 (at 0 1.65) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.1)) + (fp_line (start -0.227064 -0.735) (end 0.227064 -0.735) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.227064 0.735) (end 0.227064 0.735) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.85 0.95) (end -1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.85 -0.95) (end 1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 -0.95) (end 1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 0.95) (end -1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08))) + ) + (pad 1 smd roundrect (at -1 0) (size 1.2 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.208333) + (net 8 "Net-(D1-Pad2)")) + (pad 2 smd roundrect (at 1 0) (size 1.2 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.208333) + (net 5 VCC)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Jumper:SolderJumper-2_P1.3mm_Bridged2Bar_Pad1.0x1.5mm (layer F.Cu) (tedit 5C756A82) (tstamp 6119890D) + (at 73.811001 51.217001) + (descr "SMD Solder Jumper, 1x1.5mm Pads, 0.3mm gap, bridged with 2 copper strips") + (tags "solder jumper open") + (path /611AC9C3) + (attr virtual) + (fp_text reference JP2 (at 0 -1.8) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value SolderJumper_Bridged (at 0 1.9) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_poly (pts (xy -0.25 -0.6) (xy 0.25 -0.6) (xy 0.25 -0.2) (xy -0.25 -0.2)) (layer F.Cu) (width 0)) + (fp_poly (pts (xy -0.25 0.2) (xy 0.25 0.2) (xy 0.25 0.6) (xy -0.25 0.6)) (layer F.Cu) (width 0)) + (fp_line (start 1.65 1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -1) (end 1.4 -1) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.4 -1) (end 1.4 1) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.4 1) (end -1.4 1) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 1) (end -1.4 -1) (layer F.SilkS) (width 0.12)) + (pad 2 smd rect (at 0.65 0) (size 1 1.5) (layers F.Cu F.Mask) + (net 13 "Net-(J2-PadA6)")) + (pad 1 smd rect (at -0.65 0) (size 1 1.5) (layers F.Cu F.Mask) + (net 33 TX)) + ) + + (module Jumper:SolderJumper-2_P1.3mm_Bridged2Bar_Pad1.0x1.5mm (layer F.Cu) (tedit 5C756A82) (tstamp 6119891D) + (at 81.911001 45.217001) + (descr "SMD Solder Jumper, 1x1.5mm Pads, 0.3mm gap, bridged with 2 copper strips") + (tags "solder jumper open") + (path /611AE464) + (attr virtual) + (fp_text reference JP4 (at 0 -1.8) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value SolderJumper_Bridged (at 0 1.9) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.4 1) (end -1.4 -1) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.4 1) (end -1.4 1) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.4 -1) (end 1.4 1) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -1) (end 1.4 -1) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.65 -1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) + (fp_poly (pts (xy -0.25 0.2) (xy 0.25 0.2) (xy 0.25 0.6) (xy -0.25 0.6)) (layer F.Cu) (width 0)) + (fp_poly (pts (xy -0.25 -0.6) (xy 0.25 -0.6) (xy 0.25 -0.2) (xy -0.25 -0.2)) (layer F.Cu) (width 0)) + (pad 1 smd rect (at -0.65 0) (size 1 1.5) (layers F.Cu F.Mask) + (net 34 RX)) + (pad 2 smd rect (at 0.65 0) (size 1 1.5) (layers F.Cu F.Mask) + (net 17 "Net-(J2-PadA7)")) + ) + + (module Jumper:SolderJumper-2_P1.3mm_Bridged2Bar_Pad1.0x1.5mm (layer F.Cu) (tedit 5C756A82) (tstamp 6119892D) + (at 78.161001 51.217001) + (descr "SMD Solder Jumper, 1x1.5mm Pads, 0.3mm gap, bridged with 2 copper strips") + (tags "solder jumper open") + (path /611AECF9) + (attr virtual) + (fp_text reference JP5 (at 0 -1.8) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value SolderJumper_Bridged (at 0 1.9) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_poly (pts (xy -0.25 -0.6) (xy 0.25 -0.6) (xy 0.25 -0.2) (xy -0.25 -0.2)) (layer F.Cu) (width 0)) + (fp_poly (pts (xy -0.25 0.2) (xy 0.25 0.2) (xy 0.25 0.6) (xy -0.25 0.6)) (layer F.Cu) (width 0)) + (fp_line (start 1.65 1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -1) (end 1.4 -1) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.4 -1) (end 1.4 1) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.4 1) (end -1.4 1) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 1) (end -1.4 -1) (layer F.SilkS) (width 0.12)) + (pad 2 smd rect (at 0.65 0) (size 1 1.5) (layers F.Cu F.Mask) + (net 19 "Net-(J2-PadA8)")) + (pad 1 smd rect (at -0.65 0) (size 1 1.5) (layers F.Cu F.Mask) + (net 12 RST)) + ) + + (module Jumper:SolderJumper-2_P1.3mm_Bridged2Bar_Pad1.0x1.5mm (layer F.Cu) (tedit 5C756A82) (tstamp 6119893D) + (at 86.261001 45.217001) + (descr "SMD Solder Jumper, 1x1.5mm Pads, 0.3mm gap, bridged with 2 copper strips") + (tags "solder jumper open") + (path /611AF363) + (attr virtual) + (fp_text reference JP6 (at 0 -1.8) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value SolderJumper_Bridged (at 0 1.9) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.4 1) (end -1.4 -1) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.4 1) (end -1.4 1) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.4 -1) (end 1.4 1) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -1) (end 1.4 -1) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.65 -1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) + (fp_poly (pts (xy -0.25 0.2) (xy 0.25 0.2) (xy 0.25 0.6) (xy -0.25 0.6)) (layer F.Cu) (width 0)) + (fp_poly (pts (xy -0.25 -0.6) (xy 0.25 -0.6) (xy 0.25 -0.2) (xy -0.25 -0.2)) (layer F.Cu) (width 0)) + (pad 1 smd rect (at -0.65 0) (size 1 1.5) (layers F.Cu F.Mask) + (net 16 "Net-(J2-PadB8)")) + (pad 2 smd rect (at 0.65 0) (size 1 1.5) (layers F.Cu F.Mask) + (net 12 RST)) + ) + + (module Jumper:SolderJumper-2_P1.3mm_Bridged2Bar_Pad1.0x1.5mm (layer F.Cu) (tedit 5C756A82) (tstamp 6119894D) + (at 82.511001 48.767001) + (descr "SMD Solder Jumper, 1x1.5mm Pads, 0.3mm gap, bridged with 2 copper strips") + (tags "solder jumper open") + (path /611B0523) + (attr virtual) + (fp_text reference JP7 (at 0 -1.8) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value SolderJumper_Bridged (at 0 1.9) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.4 1) (end -1.4 -1) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.4 1) (end -1.4 1) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.4 -1) (end 1.4 1) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -1) (end 1.4 -1) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.65 -1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) + (fp_poly (pts (xy -0.25 0.2) (xy 0.25 0.2) (xy 0.25 0.6) (xy -0.25 0.6)) (layer F.Cu) (width 0)) + (fp_poly (pts (xy -0.25 -0.6) (xy 0.25 -0.6) (xy 0.25 -0.2) (xy -0.25 -0.2)) (layer F.Cu) (width 0)) + (pad 1 smd rect (at -0.65 0) (size 1 1.5) (layers F.Cu F.Mask) + (net 14 "Net-(J2-PadB7)")) + (pad 2 smd rect (at 0.65 0) (size 1 1.5) (layers F.Cu F.Mask) + (net 34 RX)) + ) + + (module Jumper:SolderJumper-2_P1.3mm_Bridged2Bar_Pad1.0x1.5mm (layer F.Cu) (tedit 5C756A82) (tstamp 6119895D) + (at 86.861001 48.767001) + (descr "SMD Solder Jumper, 1x1.5mm Pads, 0.3mm gap, bridged with 2 copper strips") + (tags "solder jumper open") + (path /611B0A60) + (attr virtual) + (fp_text reference JP9 (at 0 -1.8) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value SolderJumper_Bridged (at 0 1.9) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_poly (pts (xy -0.25 -0.6) (xy 0.25 -0.6) (xy 0.25 -0.2) (xy -0.25 -0.2)) (layer F.Cu) (width 0)) + (fp_poly (pts (xy -0.25 0.2) (xy 0.25 0.2) (xy 0.25 0.6) (xy -0.25 0.6)) (layer F.Cu) (width 0)) + (fp_line (start 1.65 1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -1) (end 1.4 -1) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.4 -1) (end 1.4 1) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.4 1) (end -1.4 1) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 1) (end -1.4 -1) (layer F.SilkS) (width 0.12)) + (pad 2 smd rect (at 0.65 0) (size 1 1.5) (layers F.Cu F.Mask) + (net 33 TX)) + (pad 1 smd rect (at -0.65 0) (size 1 1.5) (layers F.Cu F.Mask) + (net 18 "Net-(J2-PadB6)")) + ) + + (module SKRKAEE020:SKRKAEE020 (layer F.Cu) (tedit 0) (tstamp 6119896E) + (at 75.686001 46.442001) + (descr SKRKAEE020) + (tags Switch) + (path /6132D0B4) + (attr smd) + (fp_text reference SW5 (at 0 0) (layer F.SilkS) + (effects (font (size 1.27 1.27) (thickness 0.254))) + ) + (fp_text value SW_Push (at 0 0) (layer F.SilkS) hide + (effects (font (size 1.27 1.27) (thickness 0.254))) + ) + (fp_line (start -1.95 -1.45) (end 1.95 -1.45) (layer F.Fab) (width 0.2)) + (fp_line (start 1.95 -1.45) (end 1.95 1.45) (layer F.Fab) (width 0.2)) + (fp_line (start 1.95 1.45) (end -1.95 1.45) (layer F.Fab) (width 0.2)) + (fp_line (start -1.95 1.45) (end -1.95 -1.45) (layer F.Fab) (width 0.2)) + (fp_line (start -3.5 -2.45) (end 3.5 -2.45) (layer F.CrtYd) (width 0.1)) + (fp_line (start 3.5 -2.45) (end 3.5 2.45) (layer F.CrtYd) (width 0.1)) + (fp_line (start 3.5 2.45) (end -3.5 2.45) (layer F.CrtYd) (width 0.1)) + (fp_line (start -3.5 2.45) (end -3.5 -2.45) (layer F.CrtYd) (width 0.1)) + (fp_line (start -1.95 1.45) (end 1.95 1.45) (layer F.SilkS) (width 0.1)) + (fp_line (start -1.95 -1.45) (end 1.95 -1.45) (layer F.SilkS) (width 0.1)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 1.27 1.27) (thickness 0.254))) + ) + (pad 1 smd rect (at -2.1 0) (size 0.8 2) (layers F.Cu F.Paste F.Mask) + (net 12 RST)) + (pad 2 smd rect (at 2.1 0) (size 0.8 2) (layers F.Cu F.Paste F.Mask) + (net 4 GND)) + (model ${KIPRJMOD}/Libraries/SKRKAEE020.models/SKRKAEE020.stp + (offset (xyz 0 0 0.7499999887361273)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 0)) + ) + ) + + (module LED_SMD:LED_0805_2012Metric_Castellated (layer F.Cu) (tedit 5F68FEF1) (tstamp 611BEFF3) + (at 118.497001 69.042001) + (descr "LED SMD 0805 (2012 Metric), castellated end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "LED castellated") + (path /61D1A3B1) + (attr smd) + (fp_text reference D2 (at 0 -1.6) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 17-21/BHC-XL2M2TY/3T (at 0 1.6) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.88 0.9) (end -1.88 0.9) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.88 -0.9) (end 1.88 0.9) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.88 -0.9) (end 1.88 -0.9) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.88 0.9) (end -1.88 -0.9) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.885 0.91) (end 1 0.91) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.885 -0.91) (end -1.885 0.91) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 -0.91) (end -1.885 -0.91) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08))) + ) + (pad 1 smd roundrect (at -0.9625 0) (size 1.325 1.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.192308) + (net 4 GND)) + (pad 2 smd roundrect (at 0.9625 0) (size 1.325 1.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.192308) + (net 37 "Net-(D2-Pad2)")) + (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0805_2012Metric_Castellated.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder (layer F.Cu) (tedit 5F68FEEE) (tstamp 611BF004) + (at 118.427001 71.987001) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /61D1A3B7) + (attr smd) + (fp_text reference R3 (at 0 -1.65) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 200 (at 0 1.65) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.85 0.95) (end -1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 -0.95) (end 1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.85 -0.95) (end 1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.85 0.95) (end -1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.227064 0.735) (end 0.227064 0.735) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.227064 -0.735) (end 0.227064 -0.735) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08))) + ) + (pad 1 smd roundrect (at -1 0) (size 1.2 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.208333) + (net 37 "Net-(D2-Pad2)")) + (pad 2 smd roundrect (at 1 0) (size 1.2 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.208333) + (net 38 LED)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Package_QFP:TQFP-32_7x7mm_P0.8mm (layer F.Cu) (tedit 5A02F146) (tstamp 611BF03B) + (at 110.227001 73.397001) (descr "32-Lead Plastic Thin Quad Flatpack (PT) - 7x7x1.0 mm Body, 2.00 mm [TQFP] (see Microchip Packaging Specification 00000049BS.pdf)") (tags "QFP 0.8") - (path /61124B57) + (path /61C8D3E7) (attr smd) (fp_text reference U1 (at 0 -6.05) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value ATmega328P-AU (at 0 6.05) (layer F.Fab) + (fp_text value ATmega328PB-AU (at 0 6.05) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (fp_line (start -3.625 -3.4) (end -5.05 -3.4) (layer F.SilkS) (width 0.15)) @@ -331,69 +1315,69 @@ (effects (font (size 1 1) (thickness 0.15))) ) (pad 1 smd rect (at -4.25 -2.8) (size 1.6 0.55) (layers F.Cu F.Paste F.Mask) - (net 32 ENC1B)) + (net 31 ENC1B)) (pad 2 smd rect (at -4.25 -2) (size 1.6 0.55) (layers F.Cu F.Paste F.Mask) - (net 31 ENC2A)) + (net 30 ENC2A)) (pad 3 smd rect (at -4.25 -1.2) (size 1.6 0.55) (layers F.Cu F.Paste F.Mask) - (net 5 GND)) + (net 39 "Net-(U1-Pad3)")) (pad 4 smd rect (at -4.25 -0.4) (size 1.6 0.55) (layers F.Cu F.Paste F.Mask) - (net 6 VCC)) + (net 5 VCC)) (pad 5 smd rect (at -4.25 0.4) (size 1.6 0.55) (layers F.Cu F.Paste F.Mask) - (net 5 GND)) + (net 4 GND)) (pad 6 smd rect (at -4.25 1.2) (size 1.6 0.55) (layers F.Cu F.Paste F.Mask) - (net 6 VCC)) + (net 40 "Net-(U1-Pad6)")) (pad 7 smd rect (at -4.25 2) (size 1.6 0.55) (layers F.Cu F.Paste F.Mask) - (net 7 XTAL1)) + (net 6 XTAL1)) (pad 8 smd rect (at -4.25 2.8) (size 1.6 0.55) (layers F.Cu F.Paste F.Mask) - (net 8 XTAL2)) + (net 7 XTAL2)) (pad 9 smd rect (at -2.8 4.25 90) (size 1.6 0.55) (layers F.Cu F.Paste F.Mask) - (net 30 ENC2B)) + (net 29 ENC2B)) (pad 10 smd rect (at -2 4.25 90) (size 1.6 0.55) (layers F.Cu F.Paste F.Mask) - (net 29 ENC3A)) + (net 28 ENC3A)) (pad 11 smd rect (at -1.2 4.25 90) (size 1.6 0.55) (layers F.Cu F.Paste F.Mask) - (net 28 ENC3B)) + (net 27 ENC3B)) (pad 12 smd rect (at -0.4 4.25 90) (size 1.6 0.55) (layers F.Cu F.Paste F.Mask) - (net 27 ENC4A)) + (net 26 ENC4A)) (pad 13 smd rect (at 0.4 4.25 90) (size 1.6 0.55) (layers F.Cu F.Paste F.Mask) - (net 26 ENC4B)) + (net 25 ENC4B)) (pad 14 smd rect (at 1.2 4.25 90) (size 1.6 0.55) (layers F.Cu F.Paste F.Mask) - (net 1 "Net-(U1-Pad14)")) + (net 38 LED)) (pad 15 smd rect (at 2 4.25 90) (size 1.6 0.55) (layers F.Cu F.Paste F.Mask) - (net 10 MOSI)) + (net 9 MOSI)) (pad 16 smd rect (at 2.8 4.25 90) (size 1.6 0.55) (layers F.Cu F.Paste F.Mask) - (net 12 MISO)) + (net 11 MISO)) (pad 17 smd rect (at 4.25 2.8) (size 1.6 0.55) (layers F.Cu F.Paste F.Mask) - (net 11 SCK)) + (net 10 SCK)) (pad 18 smd rect (at 4.25 2) (size 1.6 0.55) (layers F.Cu F.Paste F.Mask) - (net 6 VCC)) + (net 5 VCC)) (pad 19 smd rect (at 4.25 1.2) (size 1.6 0.55) (layers F.Cu F.Paste F.Mask) - (net 2 "Net-(U1-Pad19)")) + (net 1 "Net-(U1-Pad19)")) (pad 20 smd rect (at 4.25 0.4) (size 1.6 0.55) (layers F.Cu F.Paste F.Mask) - (net 3 "Net-(U1-Pad20)")) + (net 2 "Net-(U1-Pad20)")) (pad 21 smd rect (at 4.25 -0.4) (size 1.6 0.55) (layers F.Cu F.Paste F.Mask) - (net 5 GND)) + (net 4 GND)) (pad 22 smd rect (at 4.25 -1.2) (size 1.6 0.55) (layers F.Cu F.Paste F.Mask) - (net 4 "Net-(U1-Pad22)")) + (net 3 "Net-(U1-Pad22)")) (pad 23 smd rect (at 4.25 -2) (size 1.6 0.55) (layers F.Cu F.Paste F.Mask) - (net 25 BTN1)) + (net 24 BTN1)) (pad 24 smd rect (at 4.25 -2.8) (size 1.6 0.55) (layers F.Cu F.Paste F.Mask) - (net 24 BTN2)) + (net 23 BTN2)) (pad 25 smd rect (at 2.8 -4.25 90) (size 1.6 0.55) (layers F.Cu F.Paste F.Mask) - (net 23 BTN3)) + (net 22 BTN3)) (pad 26 smd rect (at 2 -4.25 90) (size 1.6 0.55) (layers F.Cu F.Paste F.Mask) - (net 22 BTN4)) + (net 21 BTN4)) (pad 27 smd rect (at 1.2 -4.25 90) (size 1.6 0.55) (layers F.Cu F.Paste F.Mask) - (net 36 SDA)) + (net 35 SDA)) (pad 28 smd rect (at 0.4 -4.25 90) (size 1.6 0.55) (layers F.Cu F.Paste F.Mask) - (net 37 SCL)) + (net 36 SCL)) (pad 29 smd rect (at -0.4 -4.25 90) (size 1.6 0.55) (layers F.Cu F.Paste F.Mask) - (net 13 RST)) + (net 12 RST)) (pad 30 smd rect (at -1.2 -4.25 90) (size 1.6 0.55) (layers F.Cu F.Paste F.Mask) - (net 35 RX)) + (net 34 RX)) (pad 31 smd rect (at -2 -4.25 90) (size 1.6 0.55) (layers F.Cu F.Paste F.Mask) - (net 34 TX)) + (net 33 TX)) (pad 32 smd rect (at -2.8 -4.25 90) (size 1.6 0.55) (layers F.Cu F.Paste F.Mask) - (net 33 ENC1A)) + (net 32 ENC1A)) (model ${KISYS3DMOD}/Package_QFP.3dshapes/TQFP-32_7x7mm_P0.8mm.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -401,908 +1385,4 @@ ) ) - (module AVR-ISP:AVR-ISP (layer F.Cu) (tedit 61126B73) (tstamp 6112DBCD) - (at 139.446 69.342) - (path /61134728) - (fp_text reference J1 (at 0 0) (layer F.SilkS) - (effects (font (size 0.787402 0.787402) (thickness 0.15))) - ) - (fp_text value AVR-ISP-6 (at 0 0) (layer F.Fab) - (effects (font (size 0.787402 0.787402) (thickness 0.15))) - ) - (fp_circle (center -4.064 2.794) (end -3.964 2.794) (layer F.SilkS) (width 0.2)) - (fp_line (start -3.81 2.54) (end -3.81 -2.54) (layer F.SilkS) (width 0.127)) - (fp_line (start 3.81 2.54) (end -3.81 2.54) (layer F.SilkS) (width 0.127)) - (fp_line (start 3.81 -2.54) (end 3.81 2.54) (layer F.SilkS) (width 0.127)) - (fp_line (start -3.81 -2.54) (end 3.81 -2.54) (layer F.SilkS) (width 0.127)) - (fp_text user ICSP (at 0 -3.302) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (pad 4 smd circle (at 0 -1.27) (size 1.308 1.308) (layers F.Cu F.Paste F.Mask) - (net 10 MOSI)) - (pad 3 smd circle (at 0 1.27) (size 1.308 1.308) (layers F.Cu F.Paste F.Mask) - (net 11 SCK)) - (pad 2 smd circle (at -2.54 -1.27) (size 1.308 1.308) (layers F.Cu F.Paste F.Mask) - (net 6 VCC)) - (pad 1 smd circle (at -2.54 1.27) (size 1.308 1.308) (layers F.Cu F.Paste F.Mask) - (net 12 MISO)) - (pad 5 smd circle (at 2.54 1.27) (size 1.308 1.308) (layers F.Cu F.Paste F.Mask) - (net 13 RST)) - (pad 6 smd circle (at 2.54 -1.27) (size 1.308 1.308) (layers F.Cu F.Paste F.Mask) - (net 5 GND)) - ) - - (module HRO_TYPE-C-31-M-12:HRO_TYPE-C-31-M-12 (layer F.Cu) (tedit 6112D184) (tstamp 6112D3E1) - (at 145.796 80.772) - (path /6112D89A) - (fp_text reference J2 (at -1.825 -7.435) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value TYPE-C-31-M-12 (at 6.43 4.135) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -4.47 2.6) (end 4.47 2.6) (layer F.Fab) (width 0.127)) - (fp_line (start 4.47 2.6) (end 4.47 -4.7) (layer F.Fab) (width 0.127)) - (fp_line (start 4.47 -4.7) (end -4.47 -4.7) (layer F.Fab) (width 0.127)) - (fp_line (start -4.47 -4.7) (end -4.47 2.6) (layer F.Fab) (width 0.127)) - (fp_line (start -4.47 -2.81) (end -4.47 -1.37) (layer F.SilkS) (width 0.127)) - (fp_line (start 4.47 -2.81) (end 4.47 -1.37) (layer F.SilkS) (width 0.127)) - (fp_line (start 4.47 1.37) (end 4.47 2.6) (layer F.SilkS) (width 0.127)) - (fp_line (start 4.47 2.6) (end -4.47 2.6) (layer F.SilkS) (width 0.127)) - (fp_line (start -4.47 2.6) (end -4.47 1.37) (layer F.SilkS) (width 0.127)) - (fp_line (start -5.095 2.85) (end 5.095 2.85) (layer F.CrtYd) (width 0.05)) - (fp_line (start 5.095 2.85) (end 5.095 -6.07) (layer F.CrtYd) (width 0.05)) - (fp_line (start 5.095 -6.07) (end -5.095 -6.07) (layer F.CrtYd) (width 0.05)) - (fp_line (start -5.095 -6.07) (end -5.095 2.85) (layer F.CrtYd) (width 0.05)) - (fp_circle (center -3.4 -6.4) (end -3.3 -6.4) (layer F.Fab) (width 0.2)) - (fp_circle (center -3.4 -6.4) (end -3.3 -6.4) (layer F.SilkS) (width 0.2)) - (pad A1B12 smd rect (at -3.25 -5.095) (size 0.6 1.45) (layers F.Cu F.Paste F.Mask) - (net 5 GND)) - (pad A4B9 smd rect (at -2.45 -5.095) (size 0.6 1.45) (layers F.Cu F.Paste F.Mask) - (net 6 VCC)) - (pad A6 smd rect (at -0.25 -5.095) (size 0.3 1.45) (layers F.Cu F.Paste F.Mask) - (net 14 "Net-(J2-PadA6)")) - (pad B7 smd rect (at -0.75 -5.095) (size 0.3 1.45) (layers F.Cu F.Paste F.Mask) - (net 15 "Net-(J2-PadB7)")) - (pad A5 smd rect (at -1.25 -5.095) (size 0.3 1.45) (layers F.Cu F.Paste F.Mask) - (net 16 "Net-(J2-PadA5)")) - (pad B8 smd rect (at -1.75 -5.095) (size 0.3 1.45) (layers F.Cu F.Paste F.Mask) - (net 17 "Net-(J2-PadB8)")) - (pad A7 smd rect (at 0.25 -5.095) (size 0.3 1.45) (layers F.Cu F.Paste F.Mask) - (net 18 "Net-(J2-PadA7)")) - (pad B6 smd rect (at 0.75 -5.095) (size 0.3 1.45) (layers F.Cu F.Paste F.Mask) - (net 19 "Net-(J2-PadB6)")) - (pad A8 smd rect (at 1.25 -5.095) (size 0.3 1.45) (layers F.Cu F.Paste F.Mask) - (net 20 "Net-(J2-PadA8)")) - (pad B5 smd rect (at 1.75 -5.095) (size 0.3 1.45) (layers F.Cu F.Paste F.Mask) - (net 21 "Net-(J2-PadB5)")) - (pad B4A9 smd rect (at 2.45 -5.095) (size 0.6 1.45) (layers F.Cu F.Paste F.Mask) - (net 6 VCC)) - (pad B1A12 smd rect (at 3.25 -5.095) (size 0.6 1.45) (layers F.Cu F.Paste F.Mask) - (net 5 GND)) - (pad S1 thru_hole oval (at -4.32 -4.18) (size 1.05 2.1) (drill oval 0.65 1.75) (layers *.Cu *.Mask) - (net 5 GND)) - (pad S2 thru_hole oval (at 4.32 -4.18) (size 1.05 2.1) (drill oval 0.65 1.75) (layers *.Cu *.Mask) - (net 5 GND)) - (pad S3 thru_hole oval (at -4.32 0) (size 1.05 2.1) (drill oval 0.65 1.25) (layers *.Cu *.Mask) - (net 5 GND)) - (pad S4 thru_hole oval (at 4.32 0) (size 1.05 2.1) (drill oval 0.65 1.25) (layers *.Cu *.Mask) - (net 5 GND)) - (pad None np_thru_hole circle (at -2.89 -3.65) (size 0.7 0.7) (drill 0.7) (layers *.Cu *.Mask)) - (pad None np_thru_hole circle (at 2.89 -3.65) (size 0.7 0.7) (drill 0.7) (layers *.Cu *.Mask)) - (model ${KIPRJMOD}/Libraries/HRO_TYPE-C-31-M-12.models/TYPE-C-31-M-12.step - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz -90 0 0)) - ) - ) - - (module Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder (layer F.Cu) (tedit 5F68FEEF) (tstamp 61133BF5) - (at 104.013001 44.863001) - (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") - (tags "capacitor handsolder") - (path /6113DC2F) - (attr smd) - (fp_text reference C1 (at 0 -1.68) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 22p (at 0 1.68) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 1.88 0.98) (end -1.88 0.98) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.88 -0.98) (end 1.88 0.98) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.88 -0.98) (end 1.88 -0.98) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.88 0.98) (end -1.88 -0.98) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.261252 0.735) (end 0.261252 0.735) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.5 0.5) (thickness 0.08))) - ) - (pad 1 smd roundrect (at -1.0375 0) (size 1.175 1.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.212766) - (net 7 XTAL1)) - (pad 2 smd roundrect (at 1.0375 0) (size 1.175 1.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.212766) - (net 5 GND)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder (layer F.Cu) (tedit 5F68FEEF) (tstamp 61133C06) - (at 108.823001 44.863001) - (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") - (tags "capacitor handsolder") - (path /611448F1) - (attr smd) - (fp_text reference C2 (at 0 -1.68) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 22p (at 0 1.68) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.1)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.1)) - (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.261252 0.735) (end 0.261252 0.735) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.88 0.98) (end -1.88 -0.98) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.88 -0.98) (end 1.88 -0.98) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.88 -0.98) (end 1.88 0.98) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.88 0.98) (end -1.88 0.98) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.5 0.5) (thickness 0.08))) - ) - (pad 2 smd roundrect (at 1.0375 0) (size 1.175 1.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.212766) - (net 5 GND)) - (pad 1 smd roundrect (at -1.0375 0) (size 1.175 1.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.212766) - (net 8 XTAL2)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder (layer F.Cu) (tedit 5F68FEEF) (tstamp 61133C17) - (at 104.013001 47.873001) - (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") - (tags "capacitor handsolder") - (path /6115564D) - (attr smd) - (fp_text reference C3 (at 0 -1.68) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 100n (at 0 1.68) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 1.88 0.98) (end -1.88 0.98) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.88 -0.98) (end 1.88 0.98) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.88 -0.98) (end 1.88 -0.98) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.88 0.98) (end -1.88 -0.98) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.261252 0.735) (end 0.261252 0.735) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.5 0.5) (thickness 0.08))) - ) - (pad 1 smd roundrect (at -1.0375 0) (size 1.175 1.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.212766) - (net 6 VCC)) - (pad 2 smd roundrect (at 1.0375 0) (size 1.175 1.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.212766) - (net 5 GND)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Jumper:SolderJumper-2_P1.3mm_Open_Pad1.0x1.5mm (layer F.Cu) (tedit 5A3EABFC) (tstamp 61133C33) - (at 112.943001 48.143001) - (descr "SMD Solder Jumper, 1x1.5mm Pads, 0.3mm gap, open") - (tags "solder jumper open") - (path /6118262C) - (attr virtual) - (fp_text reference JP3 (at 0 -1.8) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value SolderJumper (at 0 1.9) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 1.65 1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.65 1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.65 -1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.65 -1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -1) (end 1.4 -1) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.4 -1) (end 1.4 1) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.4 1) (end -1.4 1) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 1) (end -1.4 -1) (layer F.SilkS) (width 0.12)) - (pad 2 smd rect (at 0.65 0) (size 1 1.5) (layers F.Cu F.Mask) - (net 18 "Net-(J2-PadA7)")) - (pad 1 smd rect (at -0.65 0) (size 1 1.5) (layers F.Cu F.Mask) - (net 37 SCL)) - ) - - (module Jumper:SolderJumper-2_P1.3mm_Open_Pad1.0x1.5mm (layer F.Cu) (tedit 5A3EABFC) (tstamp 61133C79) - (at 121.643001 48.683001) - (descr "SMD Solder Jumper, 1x1.5mm Pads, 0.3mm gap, open") - (tags "solder jumper open") - (path /611A3587) - (attr virtual) - (fp_text reference JP8 (at 0 -1.8) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value SolderJumper (at 0 1.9) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 1.65 1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.65 1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.65 -1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.65 -1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -1) (end 1.4 -1) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.4 -1) (end 1.4 1) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.4 1) (end -1.4 1) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 1) (end -1.4 -1) (layer F.SilkS) (width 0.12)) - (pad 2 smd rect (at 0.65 0) (size 1 1.5) (layers F.Cu F.Mask) - (net 37 SCL)) - (pad 1 smd rect (at -0.65 0) (size 1 1.5) (layers F.Cu F.Mask) - (net 15 "Net-(J2-PadB7)")) - ) - - (module Jumper:SolderJumper-2_P1.3mm_Open_Pad1.0x1.5mm (layer F.Cu) (tedit 5A3EABFC) (tstamp 61133C95) - (at 130.343001 48.683001) - (descr "SMD Solder Jumper, 1x1.5mm Pads, 0.3mm gap, open") - (tags "solder jumper open") - (path /611A3577) - (attr virtual) - (fp_text reference JP10 (at 0 -1.8) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value SolderJumper (at 0 1.9) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 1.65 1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.65 1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.65 -1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.65 -1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -1) (end 1.4 -1) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.4 -1) (end 1.4 1) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.4 1) (end -1.4 1) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 1) (end -1.4 -1) (layer F.SilkS) (width 0.12)) - (pad 2 smd rect (at 0.65 0) (size 1 1.5) (layers F.Cu F.Mask) - (net 36 SDA)) - (pad 1 smd rect (at -0.65 0) (size 1 1.5) (layers F.Cu F.Mask) - (net 19 "Net-(J2-PadB6)")) - ) - - (module Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder (layer F.Cu) (tedit 5F68FEEE) (tstamp 61133CA6) - (at 113.603001 44.833001) - (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags "resistor handsolder") - (path /611669B4) - (attr smd) - (fp_text reference R1 (at 0 -1.65) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 10k (at 0 1.65) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 1.85 0.95) (end -1.85 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.85 -0.95) (end 1.85 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.85 -0.95) (end 1.85 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.85 0.95) (end -1.85 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.227064 0.735) (end 0.227064 0.735) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.227064 -0.735) (end 0.227064 -0.735) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.5 0.5) (thickness 0.08))) - ) - (pad 1 smd roundrect (at -1 0) (size 1.2 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.208333) - (net 13 RST)) - (pad 2 smd roundrect (at 1 0) (size 1.2 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.208333) - (net 6 VCC)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Bourns-PEC11R-4220F-S0012:Bourns-PEC11R-4220F-S0012-MFG (layer F.Cu) (tedit 611258C1) (tstamp 61133CC6) - (at 109.108001 34.483001) - (path /611CC8B9) - (fp_text reference SW2 (at -6.5 -8.65) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15)) (justify left)) - ) - (fp_text value PEC11R-4220F-S0012 (at 0 0) (layer F.SilkS) - (effects (font (size 1.27 1.27) (thickness 0.15))) - ) - (fp_line (start -6.5 6.95) (end -6.5 -6.95) (layer F.Fab) (width 0.15)) - (fp_line (start -6.5 -6.95) (end 6.5 -6.95) (layer F.Fab) (width 0.15)) - (fp_line (start 6.5 -6.95) (end 6.5 6.95) (layer F.Fab) (width 0.15)) - (fp_line (start 6.5 6.95) (end -6.5 6.95) (layer F.Fab) (width 0.15)) - (fp_line (start 6.925 -7.8) (end 6.925 -7.8) (layer F.CrtYd) (width 0.15)) - (fp_line (start 6.925 -7.8) (end -6.925 -7.8) (layer F.CrtYd) (width 0.15)) - (fp_line (start -6.925 -7.8) (end -6.925 8.3) (layer F.CrtYd) (width 0.15)) - (fp_line (start -6.925 8.3) (end 6.925 8.3) (layer F.CrtYd) (width 0.15)) - (fp_line (start 6.925 8.3) (end 6.925 -7.8) (layer F.CrtYd) (width 0.15)) - (fp_line (start -6.5 -6.95) (end -3.65 -6.95) (layer F.SilkS) (width 0.15)) - (fp_line (start -1.35 -6.95) (end 1.35 -6.95) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.65 -6.95) (end 6.5 -6.95) (layer F.SilkS) (width 0.15)) - (fp_line (start 6.5 6.95) (end 6.5 2.875) (layer F.SilkS) (width 0.15)) - (fp_line (start 6.5 -2.875) (end 6.5 -6.95) (layer F.SilkS) (width 0.15)) - (fp_line (start -6.5 6.95) (end -3.65 6.95) (layer F.SilkS) (width 0.15)) - (fp_line (start -1.35 6.95) (end -1.15 6.95) (layer F.SilkS) (width 0.15)) - (fp_line (start 1.15 6.95) (end 1.35 6.95) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.65 6.95) (end 6.5 6.95) (layer F.SilkS) (width 0.15)) - (fp_line (start -6.5 6.95) (end -6.5 2.875) (layer F.SilkS) (width 0.15)) - (fp_line (start -6.5 -2.875) (end -6.5 -6.95) (layer F.SilkS) (width 0.15)) - (fp_circle (center -2.5 -8.325) (end -2.375 -8.325) (layer F.SilkS) (width 0.25)) - (pad S1 thru_hole rect (at -2.5 -7) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) - (net 5 GND)) - (pad S2 thru_hole circle (at 2.5 -7) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) - (net 24 BTN2)) - (pad A thru_hole circle (at -2.5 7.5) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) - (net 31 ENC2A)) - (pad C thru_hole circle (at 0 7.5) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) - (net 5 GND)) - (pad B thru_hole circle (at 2.5 7.5) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) - (net 30 ENC2B)) - (pad 3 thru_hole roundrect (at -5.7 0) (size 2.4 5) (drill oval 1.5 2.8) (layers *.Cu *.Mask) (roundrect_rratio 0.5)) - (pad 4 thru_hole roundrect (at 5.7 0) (size 2.4 5) (drill oval 1.5 2.8) (layers *.Cu *.Mask) (roundrect_rratio 0.5)) - (model ${KIPRJMOD}/Libraries/Bourns-PEC11R-4220F-S0012.models/Bourns_-_PEC11R-4220F-S0012.step - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Bourns-PEC11R-4220F-S0012:Bourns-PEC11R-4220F-S0012-MFG (layer F.Cu) (tedit 611258C1) (tstamp 61133CE6) - (at 124.108001 34.483001) - (path /611CDF12) - (fp_text reference SW3 (at -6.5 -8.65) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15)) (justify left)) - ) - (fp_text value PEC11R-4220F-S0012 (at 0 0) (layer F.SilkS) - (effects (font (size 1.27 1.27) (thickness 0.15))) - ) - (fp_circle (center -2.5 -8.325) (end -2.375 -8.325) (layer F.SilkS) (width 0.25)) - (fp_line (start -6.5 -2.875) (end -6.5 -6.95) (layer F.SilkS) (width 0.15)) - (fp_line (start -6.5 6.95) (end -6.5 2.875) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.65 6.95) (end 6.5 6.95) (layer F.SilkS) (width 0.15)) - (fp_line (start 1.15 6.95) (end 1.35 6.95) (layer F.SilkS) (width 0.15)) - (fp_line (start -1.35 6.95) (end -1.15 6.95) (layer F.SilkS) (width 0.15)) - (fp_line (start -6.5 6.95) (end -3.65 6.95) (layer F.SilkS) (width 0.15)) - (fp_line (start 6.5 -2.875) (end 6.5 -6.95) (layer F.SilkS) (width 0.15)) - (fp_line (start 6.5 6.95) (end 6.5 2.875) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.65 -6.95) (end 6.5 -6.95) (layer F.SilkS) (width 0.15)) - (fp_line (start -1.35 -6.95) (end 1.35 -6.95) (layer F.SilkS) (width 0.15)) - (fp_line (start -6.5 -6.95) (end -3.65 -6.95) (layer F.SilkS) (width 0.15)) - (fp_line (start 6.925 8.3) (end 6.925 -7.8) (layer F.CrtYd) (width 0.15)) - (fp_line (start -6.925 8.3) (end 6.925 8.3) (layer F.CrtYd) (width 0.15)) - (fp_line (start -6.925 -7.8) (end -6.925 8.3) (layer F.CrtYd) (width 0.15)) - (fp_line (start 6.925 -7.8) (end -6.925 -7.8) (layer F.CrtYd) (width 0.15)) - (fp_line (start 6.925 -7.8) (end 6.925 -7.8) (layer F.CrtYd) (width 0.15)) - (fp_line (start 6.5 6.95) (end -6.5 6.95) (layer F.Fab) (width 0.15)) - (fp_line (start 6.5 -6.95) (end 6.5 6.95) (layer F.Fab) (width 0.15)) - (fp_line (start -6.5 -6.95) (end 6.5 -6.95) (layer F.Fab) (width 0.15)) - (fp_line (start -6.5 6.95) (end -6.5 -6.95) (layer F.Fab) (width 0.15)) - (pad 4 thru_hole roundrect (at 5.7 0) (size 2.4 5) (drill oval 1.5 2.8) (layers *.Cu *.Mask) (roundrect_rratio 0.5)) - (pad 3 thru_hole roundrect (at -5.7 0) (size 2.4 5) (drill oval 1.5 2.8) (layers *.Cu *.Mask) (roundrect_rratio 0.5)) - (pad B thru_hole circle (at 2.5 7.5) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) - (net 28 ENC3B)) - (pad C thru_hole circle (at 0 7.5) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) - (net 5 GND)) - (pad A thru_hole circle (at -2.5 7.5) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) - (net 29 ENC3A)) - (pad S2 thru_hole circle (at 2.5 -7) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) - (net 23 BTN3)) - (pad S1 thru_hole rect (at -2.5 -7) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) - (net 5 GND)) - (model eec.models/Bourns_-_PEC11R-4220F-S0012.step - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - (model ${KIPRJMOD}/Libraries/Bourns-PEC11R-4220F-S0012.models/Bourns_-_PEC11R-4220F-S0012.step - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Bourns-PEC11R-4220F-S0012:Bourns-PEC11R-4220F-S0012-MFG (layer F.Cu) (tedit 611258C1) (tstamp 61133D06) - (at 139.108001 34.483001) - (path /611CEA4E) - (fp_text reference SW4 (at -6.5 -8.65) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15)) (justify left)) - ) - (fp_text value PEC11R-4220F-S0012 (at 0 0) (layer F.SilkS) - (effects (font (size 1.27 1.27) (thickness 0.15))) - ) - (fp_line (start -6.5 6.95) (end -6.5 -6.95) (layer F.Fab) (width 0.15)) - (fp_line (start -6.5 -6.95) (end 6.5 -6.95) (layer F.Fab) (width 0.15)) - (fp_line (start 6.5 -6.95) (end 6.5 6.95) (layer F.Fab) (width 0.15)) - (fp_line (start 6.5 6.95) (end -6.5 6.95) (layer F.Fab) (width 0.15)) - (fp_line (start 6.925 -7.8) (end 6.925 -7.8) (layer F.CrtYd) (width 0.15)) - (fp_line (start 6.925 -7.8) (end -6.925 -7.8) (layer F.CrtYd) (width 0.15)) - (fp_line (start -6.925 -7.8) (end -6.925 8.3) (layer F.CrtYd) (width 0.15)) - (fp_line (start -6.925 8.3) (end 6.925 8.3) (layer F.CrtYd) (width 0.15)) - (fp_line (start 6.925 8.3) (end 6.925 -7.8) (layer F.CrtYd) (width 0.15)) - (fp_line (start -6.5 -6.95) (end -3.65 -6.95) (layer F.SilkS) (width 0.15)) - (fp_line (start -1.35 -6.95) (end 1.35 -6.95) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.65 -6.95) (end 6.5 -6.95) (layer F.SilkS) (width 0.15)) - (fp_line (start 6.5 6.95) (end 6.5 2.875) (layer F.SilkS) (width 0.15)) - (fp_line (start 6.5 -2.875) (end 6.5 -6.95) (layer F.SilkS) (width 0.15)) - (fp_line (start -6.5 6.95) (end -3.65 6.95) (layer F.SilkS) (width 0.15)) - (fp_line (start -1.35 6.95) (end -1.15 6.95) (layer F.SilkS) (width 0.15)) - (fp_line (start 1.15 6.95) (end 1.35 6.95) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.65 6.95) (end 6.5 6.95) (layer F.SilkS) (width 0.15)) - (fp_line (start -6.5 6.95) (end -6.5 2.875) (layer F.SilkS) (width 0.15)) - (fp_line (start -6.5 -2.875) (end -6.5 -6.95) (layer F.SilkS) (width 0.15)) - (fp_circle (center -2.5 -8.325) (end -2.375 -8.325) (layer F.SilkS) (width 0.25)) - (pad S1 thru_hole rect (at -2.5 -7) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) - (net 5 GND)) - (pad S2 thru_hole circle (at 2.5 -7) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) - (net 22 BTN4)) - (pad A thru_hole circle (at -2.5 7.5) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) - (net 27 ENC4A)) - (pad C thru_hole circle (at 0 7.5) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) - (net 5 GND)) - (pad B thru_hole circle (at 2.5 7.5) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) - (net 26 ENC4B)) - (pad 3 thru_hole roundrect (at -5.7 0) (size 2.4 5) (drill oval 1.5 2.8) (layers *.Cu *.Mask) (roundrect_rratio 0.5)) - (pad 4 thru_hole roundrect (at 5.7 0) (size 2.4 5) (drill oval 1.5 2.8) (layers *.Cu *.Mask) (roundrect_rratio 0.5)) - (model ${KIPRJMOD}/Libraries/Bourns-PEC11R-4220F-S0012.models/Bourns_-_PEC11R-4220F-S0012.step - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Connector_PinHeader_1.00mm:PinHeader_1x13_P1.00mm_Vertical (layer F.Cu) (tedit 59FED738) (tstamp 611344A2) - (at 159.258 35.306) - (descr "Through hole straight pin header, 1x13, 1.00mm pitch, single row") - (tags "Through hole pin header THT 1x13 1.00mm single row") - (path /61285557) - (fp_text reference J3 (at 0 -1.56) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value Conn_01x13 (at 0 13.56) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 1.15 -1) (end -1.15 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 13) (end 1.15 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 13) (end 1.15 13) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1) (end -1.15 13) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.695 -0.685) (end 0 -0.685) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.695 0) (end -0.695 -0.685) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.608276 0.685) (end 0.695 0.685) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.695 0.685) (end -0.608276 0.685) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.695 0.685) (end 0.695 12.56) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.695 0.685) (end -0.695 12.56) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.394493 12.56) (end 0.695 12.56) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.695 12.56) (end -0.394493 12.56) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.635 -0.1825) (end -0.3175 -0.5) (layer F.Fab) (width 0.1)) - (fp_line (start -0.635 12.5) (end -0.635 -0.1825) (layer F.Fab) (width 0.1)) - (fp_line (start 0.635 12.5) (end -0.635 12.5) (layer F.Fab) (width 0.1)) - (fp_line (start 0.635 -0.5) (end 0.635 12.5) (layer F.Fab) (width 0.1)) - (fp_line (start -0.3175 -0.5) (end 0.635 -0.5) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 6 90) (layer F.Fab) - (effects (font (size 0.76 0.76) (thickness 0.114))) - ) - (pad 13 thru_hole oval (at 0 12) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) - (net 22 BTN4)) - (pad 12 thru_hole oval (at 0 11) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) - (net 23 BTN3)) - (pad 11 thru_hole oval (at 0 10) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) - (net 24 BTN2)) - (pad 10 thru_hole oval (at 0 9) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) - (net 25 BTN1)) - (pad 9 thru_hole oval (at 0 8) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) - (net 26 ENC4B)) - (pad 8 thru_hole oval (at 0 7) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) - (net 27 ENC4A)) - (pad 7 thru_hole oval (at 0 6) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) - (net 28 ENC3B)) - (pad 6 thru_hole oval (at 0 5) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) - (net 29 ENC3A)) - (pad 5 thru_hole oval (at 0 4) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) - (net 30 ENC2B)) - (pad 4 thru_hole oval (at 0 3) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) - (net 31 ENC2A)) - (pad 3 thru_hole oval (at 0 2) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) - (net 32 ENC1B)) - (pad 2 thru_hole oval (at 0 1) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) - (net 33 ENC1A)) - (pad 1 thru_hole rect (at 0 0) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) - (net 5 GND)) - (model ${KISYS3DMOD}/Connector_PinHeader_1.00mm.3dshapes/PinHeader_1x13_P1.00mm_Vertical.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Connector_PinHeader_1.00mm:PinHeader_1x04_P1.00mm_Vertical (layer F.Cu) (tedit 59FED738) (tstamp 61134944) - (at 160.687001 55.381001) - (descr "Through hole straight pin header, 1x04, 1.00mm pitch, single row") - (tags "Through hole pin header THT 1x04 1.00mm single row") - (path /613031C0) - (fp_text reference J4 (at 0 -1.56) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value Conn_01x04 (at 0 4.56) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 1.15 -1) (end -1.15 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 4) (end 1.15 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 4) (end 1.15 4) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1) (end -1.15 4) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.695 -0.685) (end 0 -0.685) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.695 0) (end -0.695 -0.685) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.608276 0.685) (end 0.695 0.685) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.695 0.685) (end -0.608276 0.685) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.695 0.685) (end 0.695 3.56) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.695 0.685) (end -0.695 3.56) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.394493 3.56) (end 0.695 3.56) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.695 3.56) (end -0.394493 3.56) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.635 -0.1825) (end -0.3175 -0.5) (layer F.Fab) (width 0.1)) - (fp_line (start -0.635 3.5) (end -0.635 -0.1825) (layer F.Fab) (width 0.1)) - (fp_line (start 0.635 3.5) (end -0.635 3.5) (layer F.Fab) (width 0.1)) - (fp_line (start 0.635 -0.5) (end 0.635 3.5) (layer F.Fab) (width 0.1)) - (fp_line (start -0.3175 -0.5) (end 0.635 -0.5) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 1.5 90) (layer F.Fab) - (effects (font (size 0.76 0.76) (thickness 0.114))) - ) - (pad 4 thru_hole oval (at 0 3) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) - (net 13 RST)) - (pad 3 thru_hole oval (at 0 2) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) - (net 34 TX)) - (pad 2 thru_hole oval (at 0 1) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) - (net 35 RX)) - (pad 1 thru_hole rect (at 0 0) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) - (net 5 GND)) - (model ${KISYS3DMOD}/Connector_PinHeader_1.00mm.3dshapes/PinHeader_1x04_P1.00mm_Vertical.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Connector_PinHeader_1.00mm:PinHeader_1x04_P1.00mm_Vertical (layer F.Cu) (tedit 59FED738) (tstamp 611348F9) - (at 164.037001 55.381001) - (descr "Through hole straight pin header, 1x04, 1.00mm pitch, single row") - (tags "Through hole pin header THT 1x04 1.00mm single row") - (path /613021A3) - (fp_text reference J5 (at 0 -1.56) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value Conn_01x04 (at 0 4.56) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -0.3175 -0.5) (end 0.635 -0.5) (layer F.Fab) (width 0.1)) - (fp_line (start 0.635 -0.5) (end 0.635 3.5) (layer F.Fab) (width 0.1)) - (fp_line (start 0.635 3.5) (end -0.635 3.5) (layer F.Fab) (width 0.1)) - (fp_line (start -0.635 3.5) (end -0.635 -0.1825) (layer F.Fab) (width 0.1)) - (fp_line (start -0.635 -0.1825) (end -0.3175 -0.5) (layer F.Fab) (width 0.1)) - (fp_line (start -0.695 3.56) (end -0.394493 3.56) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.394493 3.56) (end 0.695 3.56) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.695 0.685) (end -0.695 3.56) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.695 0.685) (end 0.695 3.56) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.695 0.685) (end -0.608276 0.685) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.608276 0.685) (end 0.695 0.685) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.695 0) (end -0.695 -0.685) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.695 -0.685) (end 0 -0.685) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.15 -1) (end -1.15 4) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 4) (end 1.15 4) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 4) (end 1.15 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 -1) (end -1.15 -1) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 1.5 90) (layer F.Fab) - (effects (font (size 0.76 0.76) (thickness 0.114))) - ) - (pad 1 thru_hole rect (at 0 0) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) - (net 5 GND)) - (pad 2 thru_hole oval (at 0 1) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) - (net 36 SDA)) - (pad 3 thru_hole oval (at 0 2) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) - (net 37 SCL)) - (pad 4 thru_hole oval (at 0 3) (size 0.85 0.85) (drill 0.5) (layers *.Cu *.Mask) - (net 6 VCC)) - (model ${KISYS3DMOD}/Connector_PinHeader_1.00mm.3dshapes/PinHeader_1x04_P1.00mm_Vertical.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module LED_SMD:LED_0805_2012Metric_Castellated (layer F.Cu) (tedit 5F68FEF1) (tstamp 61139289) - (at 102.021001 56.088001) - (descr "LED SMD 0805 (2012 Metric), castellated end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") - (tags "LED castellated") - (path /6113FB23) - (attr smd) - (fp_text reference D1 (at 0 -1.6) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 17-21/BHC-XL2M2TY/3T (at 0 1.6) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 1.88 0.9) (end -1.88 0.9) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.88 -0.9) (end 1.88 0.9) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.88 -0.9) (end 1.88 -0.9) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.88 0.9) (end -1.88 -0.9) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.885 0.91) (end 1 0.91) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.885 -0.91) (end -1.885 0.91) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 -0.91) (end -1.885 -0.91) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.5 0.5) (thickness 0.08))) - ) - (pad 1 smd roundrect (at -0.9625 0) (size 1.325 1.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.192308) - (net 5 GND)) - (pad 2 smd roundrect (at 0.9625 0) (size 1.325 1.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.192308) - (net 9 "Net-(D1-Pad2)")) - (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0805_2012Metric_Castellated.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder (layer F.Cu) (tedit 5F68FEEE) (tstamp 611392F4) - (at 101.951001 59.033001) - (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags "resistor handsolder") - (path /6114AFDD) - (attr smd) - (fp_text reference R2 (at 0 -1.65) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 200 (at 0 1.65) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 1.85 0.95) (end -1.85 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.85 -0.95) (end 1.85 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.85 -0.95) (end 1.85 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.85 0.95) (end -1.85 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.227064 0.735) (end 0.227064 0.735) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.227064 -0.735) (end 0.227064 -0.735) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.5 0.5) (thickness 0.08))) - ) - (pad 1 smd roundrect (at -1 0) (size 1.2 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.208333) - (net 9 "Net-(D1-Pad2)")) - (pad 2 smd roundrect (at 1 0) (size 1.2 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.208333) - (net 6 VCC)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Jumper:SolderJumper-2_P1.3mm_Bridged2Bar_Pad1.0x1.5mm (layer F.Cu) (tedit 5C756A82) (tstamp 6119890D) - (at 73.811001 51.217001) - (descr "SMD Solder Jumper, 1x1.5mm Pads, 0.3mm gap, bridged with 2 copper strips") - (tags "solder jumper open") - (path /611AC9C3) - (attr virtual) - (fp_text reference JP2 (at 0 -1.8) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value SolderJumper_Bridged (at 0 1.9) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -1.4 1) (end -1.4 -1) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.4 1) (end -1.4 1) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.4 -1) (end 1.4 1) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 -1) (end 1.4 -1) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.65 -1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.65 -1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.65 1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.65 1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) - (fp_poly (pts (xy -0.25 0.2) (xy 0.25 0.2) (xy 0.25 0.6) (xy -0.25 0.6)) (layer F.Cu) (width 0)) - (fp_poly (pts (xy -0.25 -0.6) (xy 0.25 -0.6) (xy 0.25 -0.2) (xy -0.25 -0.2)) (layer F.Cu) (width 0)) - (pad 2 smd rect (at 0.65 0) (size 1 1.5) (layers F.Cu F.Mask) - (net 14 "Net-(J2-PadA6)")) - (pad 1 smd rect (at -0.65 0) (size 1 1.5) (layers F.Cu F.Mask) - (net 34 TX)) - ) - - (module Jumper:SolderJumper-2_P1.3mm_Bridged2Bar_Pad1.0x1.5mm (layer F.Cu) (tedit 5C756A82) (tstamp 6119891D) - (at 81.911001 45.217001) - (descr "SMD Solder Jumper, 1x1.5mm Pads, 0.3mm gap, bridged with 2 copper strips") - (tags "solder jumper open") - (path /611AE464) - (attr virtual) - (fp_text reference JP4 (at 0 -1.8) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value SolderJumper_Bridged (at 0 1.9) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_poly (pts (xy -0.25 -0.6) (xy 0.25 -0.6) (xy 0.25 -0.2) (xy -0.25 -0.2)) (layer F.Cu) (width 0)) - (fp_poly (pts (xy -0.25 0.2) (xy 0.25 0.2) (xy 0.25 0.6) (xy -0.25 0.6)) (layer F.Cu) (width 0)) - (fp_line (start 1.65 1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.65 1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.65 -1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.65 -1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -1) (end 1.4 -1) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.4 -1) (end 1.4 1) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.4 1) (end -1.4 1) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 1) (end -1.4 -1) (layer F.SilkS) (width 0.12)) - (pad 1 smd rect (at -0.65 0) (size 1 1.5) (layers F.Cu F.Mask) - (net 35 RX)) - (pad 2 smd rect (at 0.65 0) (size 1 1.5) (layers F.Cu F.Mask) - (net 18 "Net-(J2-PadA7)")) - ) - - (module Jumper:SolderJumper-2_P1.3mm_Bridged2Bar_Pad1.0x1.5mm (layer F.Cu) (tedit 5C756A82) (tstamp 6119892D) - (at 78.161001 51.217001) - (descr "SMD Solder Jumper, 1x1.5mm Pads, 0.3mm gap, bridged with 2 copper strips") - (tags "solder jumper open") - (path /611AECF9) - (attr virtual) - (fp_text reference JP5 (at 0 -1.8) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value SolderJumper_Bridged (at 0 1.9) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -1.4 1) (end -1.4 -1) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.4 1) (end -1.4 1) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.4 -1) (end 1.4 1) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 -1) (end 1.4 -1) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.65 -1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.65 -1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.65 1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.65 1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) - (fp_poly (pts (xy -0.25 0.2) (xy 0.25 0.2) (xy 0.25 0.6) (xy -0.25 0.6)) (layer F.Cu) (width 0)) - (fp_poly (pts (xy -0.25 -0.6) (xy 0.25 -0.6) (xy 0.25 -0.2) (xy -0.25 -0.2)) (layer F.Cu) (width 0)) - (pad 2 smd rect (at 0.65 0) (size 1 1.5) (layers F.Cu F.Mask) - (net 20 "Net-(J2-PadA8)")) - (pad 1 smd rect (at -0.65 0) (size 1 1.5) (layers F.Cu F.Mask) - (net 13 RST)) - ) - - (module Jumper:SolderJumper-2_P1.3mm_Bridged2Bar_Pad1.0x1.5mm (layer F.Cu) (tedit 5C756A82) (tstamp 6119893D) - (at 86.261001 45.217001) - (descr "SMD Solder Jumper, 1x1.5mm Pads, 0.3mm gap, bridged with 2 copper strips") - (tags "solder jumper open") - (path /611AF363) - (attr virtual) - (fp_text reference JP6 (at 0 -1.8) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value SolderJumper_Bridged (at 0 1.9) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_poly (pts (xy -0.25 -0.6) (xy 0.25 -0.6) (xy 0.25 -0.2) (xy -0.25 -0.2)) (layer F.Cu) (width 0)) - (fp_poly (pts (xy -0.25 0.2) (xy 0.25 0.2) (xy 0.25 0.6) (xy -0.25 0.6)) (layer F.Cu) (width 0)) - (fp_line (start 1.65 1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.65 1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.65 -1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.65 -1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -1) (end 1.4 -1) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.4 -1) (end 1.4 1) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.4 1) (end -1.4 1) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 1) (end -1.4 -1) (layer F.SilkS) (width 0.12)) - (pad 1 smd rect (at -0.65 0) (size 1 1.5) (layers F.Cu F.Mask) - (net 17 "Net-(J2-PadB8)")) - (pad 2 smd rect (at 0.65 0) (size 1 1.5) (layers F.Cu F.Mask) - (net 13 RST)) - ) - - (module Jumper:SolderJumper-2_P1.3mm_Bridged2Bar_Pad1.0x1.5mm (layer F.Cu) (tedit 5C756A82) (tstamp 6119894D) - (at 82.511001 48.767001) - (descr "SMD Solder Jumper, 1x1.5mm Pads, 0.3mm gap, bridged with 2 copper strips") - (tags "solder jumper open") - (path /611B0523) - (attr virtual) - (fp_text reference JP7 (at 0 -1.8) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value SolderJumper_Bridged (at 0 1.9) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_poly (pts (xy -0.25 -0.6) (xy 0.25 -0.6) (xy 0.25 -0.2) (xy -0.25 -0.2)) (layer F.Cu) (width 0)) - (fp_poly (pts (xy -0.25 0.2) (xy 0.25 0.2) (xy 0.25 0.6) (xy -0.25 0.6)) (layer F.Cu) (width 0)) - (fp_line (start 1.65 1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.65 1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.65 -1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.65 -1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -1) (end 1.4 -1) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.4 -1) (end 1.4 1) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.4 1) (end -1.4 1) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 1) (end -1.4 -1) (layer F.SilkS) (width 0.12)) - (pad 1 smd rect (at -0.65 0) (size 1 1.5) (layers F.Cu F.Mask) - (net 15 "Net-(J2-PadB7)")) - (pad 2 smd rect (at 0.65 0) (size 1 1.5) (layers F.Cu F.Mask) - (net 35 RX)) - ) - - (module Jumper:SolderJumper-2_P1.3mm_Bridged2Bar_Pad1.0x1.5mm (layer F.Cu) (tedit 5C756A82) (tstamp 6119895D) - (at 86.861001 48.767001) - (descr "SMD Solder Jumper, 1x1.5mm Pads, 0.3mm gap, bridged with 2 copper strips") - (tags "solder jumper open") - (path /611B0A60) - (attr virtual) - (fp_text reference JP9 (at 0 -1.8) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value SolderJumper_Bridged (at 0 1.9) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -1.4 1) (end -1.4 -1) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.4 1) (end -1.4 1) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.4 -1) (end 1.4 1) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 -1) (end 1.4 -1) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.65 -1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.65 -1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.65 1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.65 1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) - (fp_poly (pts (xy -0.25 0.2) (xy 0.25 0.2) (xy 0.25 0.6) (xy -0.25 0.6)) (layer F.Cu) (width 0)) - (fp_poly (pts (xy -0.25 -0.6) (xy 0.25 -0.6) (xy 0.25 -0.2) (xy -0.25 -0.2)) (layer F.Cu) (width 0)) - (pad 2 smd rect (at 0.65 0) (size 1 1.5) (layers F.Cu F.Mask) - (net 34 TX)) - (pad 1 smd rect (at -0.65 0) (size 1 1.5) (layers F.Cu F.Mask) - (net 19 "Net-(J2-PadB6)")) - ) - - (module SKRKAEE020:SKRKAEE020 (layer F.Cu) (tedit 0) (tstamp 6119896E) - (at 75.686001 46.442001) - (descr SKRKAEE020) - (tags Switch) - (path /6132D0B4) - (attr smd) - (fp_text reference SW5 (at 0 0) (layer F.SilkS) - (effects (font (size 1.27 1.27) (thickness 0.254))) - ) - (fp_text value SW_Push (at 0 0) (layer F.SilkS) hide - (effects (font (size 1.27 1.27) (thickness 0.254))) - ) - (fp_line (start -1.95 -1.45) (end 1.95 -1.45) (layer F.SilkS) (width 0.1)) - (fp_line (start -1.95 1.45) (end 1.95 1.45) (layer F.SilkS) (width 0.1)) - (fp_line (start -3.5 2.45) (end -3.5 -2.45) (layer F.CrtYd) (width 0.1)) - (fp_line (start 3.5 2.45) (end -3.5 2.45) (layer F.CrtYd) (width 0.1)) - (fp_line (start 3.5 -2.45) (end 3.5 2.45) (layer F.CrtYd) (width 0.1)) - (fp_line (start -3.5 -2.45) (end 3.5 -2.45) (layer F.CrtYd) (width 0.1)) - (fp_line (start -1.95 1.45) (end -1.95 -1.45) (layer F.Fab) (width 0.2)) - (fp_line (start 1.95 1.45) (end -1.95 1.45) (layer F.Fab) (width 0.2)) - (fp_line (start 1.95 -1.45) (end 1.95 1.45) (layer F.Fab) (width 0.2)) - (fp_line (start -1.95 -1.45) (end 1.95 -1.45) (layer F.Fab) (width 0.2)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 1.27 1.27) (thickness 0.254))) - ) - (pad 1 smd rect (at -2.1 0) (size 0.8 2) (layers F.Cu F.Paste F.Mask) - (net 13 RST)) - (pad 2 smd rect (at 2.1 0) (size 0.8 2) (layers F.Cu F.Paste F.Mask) - (net 5 GND)) - (model ${KIPRJMOD}/Libraries/SKRKAEE020.models/SKRKAEE020.stp - (offset (xyz 0 0 0.7499999887361273)) - (scale (xyz 1 1 1)) - (rotate (xyz -90 0 0)) - ) - ) - ) diff --git a/Devices/Console/Hardware/Circuit/Console.net b/Devices/Console/Hardware/Circuit/Console.net index eb69311..7cd4d1a 100644 --- a/Devices/Console/Hardware/Circuit/Console.net +++ b/Devices/Console/Hardware/Circuit/Console.net @@ -1,7 +1,7 @@ (export (version D) (design (source "D:\\Dokumente\\Coding\\Visual Studio Code\\smart-lamp-control\\Devices\\Console\\Hardware\\Circuit\\Console.sch") - (date "15.08.2021 16:11:02") + (date "17.08.2021 12:27:42") (tool "Eeschema (5.1.10)-1") (sheet (number 1) (name /) (tstamps /) (title_block @@ -15,13 +15,6 @@ (comment (number 3) (value "")) (comment (number 4) (value "Author: GHOSCHT"))))) (components - (comp (ref U1) - (value ATmega328P-AU) - (footprint Package_QFP:TQFP-32_7x7mm_P0.8mm) - (datasheet http://ww1.microchip.com/downloads/en/DeviceDoc/ATmega328_P%20AVR%20MCU%20with%20picoPower%20Technology%20Data%20Sheet%2040001984A.pdf) - (libsource (lib MCU_Microchip_ATmega) (part ATmega328P-AU) (description "20MHz, 32kB Flash, 2kB SRAM, 1kB EEPROM, TQFP-32")) - (sheetpath (names /) (tstamps /)) - (tstamp 61124B57)) (comp (ref SW1) (value PEC11R-4220F-S0012) (footprint Bourns-PEC11R-4220F-S0012:Bourns-PEC11R-4220F-S0012-MFG) @@ -215,7 +208,28 @@ (datasheet ~) (libsource (lib Switch) (part SW_Push) (description "Push button switch, generic, two pins")) (sheetpath (names /) (tstamps /)) - (tstamp 6132D0B4))) + (tstamp 6132D0B4)) + (comp (ref U1) + (value ATmega328PB-AU) + (footprint Package_QFP:TQFP-32_7x7mm_P0.8mm) + (datasheet http://ww1.microchip.com/downloads/en/DeviceDoc/40001906C.pdf) + (libsource (lib MCU_Microchip_ATmega) (part ATmega328PB-AU) (description "20MHz, 32kB Flash, 2kB SRAM, 1kB EEPROM, TQFP-32")) + (sheetpath (names /) (tstamps /)) + (tstamp 61C8D3E7)) + (comp (ref D2) + (value 17-21/BHC-XL2M2TY/3T) + (footprint LED_SMD:LED_0805_2012Metric_Castellated) + (datasheet ~) + (libsource (lib Device) (part LED) (description "Light emitting diode")) + (sheetpath (names /) (tstamps /)) + (tstamp 61D1A3B1)) + (comp (ref R3) + (value 200) + (footprint Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder) + (datasheet ~) + (libsource (lib Device) (part R) (description Resistor)) + (sheetpath (names /) (tstamps /)) + (tstamp 61D1A3B7))) (libparts (libpart (lib Connector) (part AVR-ISP-6) (description "Atmel 6-pin ISP connector") @@ -350,36 +364,26 @@ (pins (pin (num 1) (name A) (type passive)) (pin (num 2) (name B) (type passive)))) - (libpart (lib MCU_Microchip_ATmega) (part ATmega48PV-10AU) + (libpart (lib MCU_Microchip_ATmega) (part ATmega48PB-AU) (aliases - (alias ATmega48P-20AU) - (alias ATmega48A-AU) - (alias ATmega48PA-AU) - (alias ATmega88PV-10AU) - (alias ATmega88P-20AU) - (alias ATmega88A-AU) - (alias ATmega88PA-AU) - (alias ATmega168PV-10AU) - (alias ATmega168P-20AU) - (alias ATmega168A-AU) - (alias ATmega168PA-AU) - (alias ATmega328-AU) - (alias ATmega328P-AU)) - (description "10MHz, 4kB Flash, 512B SRAM, 256B EEPROM, TQFP-32") - (docs http://ww1.microchip.com/downloads/en/DeviceDoc/Atmel-8025-8-bit-AVR-Microcontroller-ATmega48P-88P-168P_Datasheet.pdf) + (alias ATmega88PB-AU) + (alias ATmega168PB-AU) + (alias ATmega328PB-AU)) + (description "20MHz, 4kB Flash, 512B SRAM, 256B EEPROM, TQFP-32") + (docs http://ww1.microchip.com/downloads/en/DeviceDoc/40001909A.pdf) (footprints (fp TQFP*7x7mm*P0.8mm*)) (fields (field (name Reference) U) - (field (name Value) ATmega48PV-10AU) + (field (name Value) ATmega48PB-AU) (field (name Footprint) Package_QFP:TQFP-32_7x7mm_P0.8mm)) (pins (pin (num 1) (name PD3) (type BiDi)) (pin (num 2) (name PD4) (type BiDi)) - (pin (num 3) (name GND) (type power_in)) + (pin (num 3) (name PE0) (type BiDi)) (pin (num 4) (name VCC) (type power_in)) - (pin (num 5) (name GND) (type passive)) - (pin (num 6) (name VCC) (type passive)) + (pin (num 5) (name GND) (type power_in)) + (pin (num 6) (name PE1) (type BiDi)) (pin (num 7) (name XTAL1/PB6) (type BiDi)) (pin (num 8) (name XTAL2/PB7) (type BiDi)) (pin (num 9) (name PD5) (type BiDi)) @@ -392,10 +396,10 @@ (pin (num 16) (name PB4) (type BiDi)) (pin (num 17) (name PB5) (type BiDi)) (pin (num 18) (name AVCC) (type power_in)) - (pin (num 19) (name ADC6) (type input)) + (pin (num 19) (name PE2) (type BiDi)) (pin (num 20) (name AREF) (type passive)) (pin (num 21) (name GND) (type passive)) - (pin (num 22) (name ADC7) (type input)) + (pin (num 22) (name PE3) (type BiDi)) (pin (num 23) (name PC0) (type BiDi)) (pin (num 24) (name PC1) (type BiDi)) (pin (num 25) (name PC2) (type BiDi)) @@ -457,171 +461,178 @@ (library (logical TYPE-C-31-M-12) (uri "D:\\Dokumente\\Coding\\Visual Studio Code\\smart-lamp-control\\Devices\\Console\\Hardware\\Circuit/Libraries/TYPE-C-31-M-12.lib"))) (nets - (net (code 1) (name RST) + (net (code 1) (name GND) + (node (ref SW1) (pin C)) + (node (ref J5) (pin 1)) + (node (ref D1) (pin 1)) + (node (ref J4) (pin 1)) + (node (ref SW1) (pin S1)) + (node (ref J1) (pin 6)) + (node (ref SW2) (pin S1)) + (node (ref SW3) (pin S1)) + (node (ref U1) (pin 21)) + (node (ref SW5) (pin 2)) + (node (ref SW3) (pin C)) + (node (ref C1) (pin 2)) + (node (ref C2) (pin 2)) + (node (ref U1) (pin 5)) + (node (ref J2) (pin S2)) + (node (ref J2) (pin A1B12)) + (node (ref J2) (pin B1A12)) + (node (ref D2) (pin 1)) + (node (ref J2) (pin S1)) + (node (ref C3) (pin 2)) + (node (ref SW2) (pin C)) + (node (ref J2) (pin S3)) + (node (ref J2) (pin S4)) + (node (ref SW4) (pin S1)) + (node (ref SW4) (pin C)) + (node (ref J3) (pin 1))) + (net (code 2) (name XTAL1) + (node (ref Y1) (pin 1)) + (node (ref U1) (pin 7)) + (node (ref C1) (pin 1))) + (net (code 3) (name XTAL2) + (node (ref Y1) (pin 2)) + (node (ref C2) (pin 1)) + (node (ref U1) (pin 8))) + (net (code 4) (name MOSI) + (node (ref J1) (pin 4)) + (node (ref U1) (pin 15))) + (net (code 5) (name SCK) + (node (ref J1) (pin 3)) + (node (ref U1) (pin 17))) + (net (code 6) (name MISO) + (node (ref U1) (pin 16)) + (node (ref J1) (pin 1))) + (net (code 7) (name VCC) + (node (ref U1) (pin 4)) + (node (ref U1) (pin 18)) + (node (ref J1) (pin 2)) + (node (ref J2) (pin A4B9)) + (node (ref R1) (pin 2)) + (node (ref J2) (pin B4A9)) + (node (ref C3) (pin 1)) + (node (ref R2) (pin 2)) + (node (ref J5) (pin 4))) + (net (code 8) (name "Net-(J2-PadB6)") + (node (ref JP9) (pin 1)) + (node (ref J2) (pin B6)) + (node (ref JP10) (pin 1))) + (net (code 9) (name "Net-(J2-PadA7)") + (node (ref JP4) (pin 2)) + (node (ref J2) (pin A7)) + (node (ref JP3) (pin 2))) + (net (code 10) (name RST) (node (ref J4) (pin 4)) - (node (ref J1) (pin 5)) - (node (ref JP6) (pin 2)) - (node (ref SW5) (pin 1)) + (node (ref U1) (pin 29)) (node (ref JP5) (pin 1)) - (node (ref R1) (pin 1)) - (node (ref U1) (pin 29))) - (net (code 2) (name ENC3B) - (node (ref U1) (pin 11)) - (node (ref SW3) (pin B)) - (node (ref J3) (pin 7))) - (net (code 3) (name ENC3A) - (node (ref U1) (pin 10)) - (node (ref J3) (pin 6)) - (node (ref SW3) (pin A))) - (net (code 4) (name ENC2B) + (node (ref J1) (pin 5)) + (node (ref SW5) (pin 1)) + (node (ref JP6) (pin 2)) + (node (ref R1) (pin 1))) + (net (code 11) (name SCL) + (node (ref U1) (pin 28)) + (node (ref JP8) (pin 2)) + (node (ref JP3) (pin 1)) + (node (ref J5) (pin 3))) + (net (code 12) (name RX) + (node (ref JP4) (pin 1)) + (node (ref JP7) (pin 2)) + (node (ref J4) (pin 2)) + (node (ref U1) (pin 30))) + (net (code 13) (name TX) + (node (ref JP9) (pin 2)) + (node (ref U1) (pin 31)) + (node (ref J4) (pin 3)) + (node (ref JP2) (pin 1))) + (net (code 14) (name ENC4B) + (node (ref U1) (pin 13)) + (node (ref SW4) (pin B)) + (node (ref J3) (pin 9))) + (net (code 15) (name ENC4A) + (node (ref SW4) (pin A)) + (node (ref J3) (pin 8)) + (node (ref U1) (pin 12))) + (net (code 16) (name ENC2B) (node (ref U1) (pin 9)) (node (ref SW2) (pin B)) (node (ref J3) (pin 5))) - (net (code 5) (name ENC2A) - (node (ref SW2) (pin A)) + (net (code 17) (name ENC1B) + (node (ref SW1) (pin B)) + (node (ref U1) (pin 1)) + (node (ref J3) (pin 3))) + (net (code 18) (name ENC3B) + (node (ref U1) (pin 11)) + (node (ref J3) (pin 7)) + (node (ref SW3) (pin B))) + (net (code 19) (name ENC3A) + (node (ref U1) (pin 10)) + (node (ref J3) (pin 6)) + (node (ref SW3) (pin A))) + (net (code 20) (name ENC2A) (node (ref J3) (pin 4)) + (node (ref SW2) (pin A)) (node (ref U1) (pin 2))) - (net (code 6) (name TX) - (node (ref JP2) (pin 1)) - (node (ref JP9) (pin 2)) - (node (ref U1) (pin 31)) - (node (ref J4) (pin 3))) - (net (code 7) (name SCL) - (node (ref U1) (pin 28)) - (node (ref J5) (pin 3)) - (node (ref JP8) (pin 2)) - (node (ref JP3) (pin 1))) - (net (code 8) (name SDA) - (node (ref U1) (pin 27)) - (node (ref JP10) (pin 2)) - (node (ref JP1) (pin 1)) - (node (ref J5) (pin 2))) - (net (code 9) (name XTAL2) - (node (ref U1) (pin 8)) - (node (ref C2) (pin 1)) - (node (ref Y1) (pin 2))) - (net (code 10) (name XTAL1) - (node (ref C1) (pin 1)) - (node (ref Y1) (pin 1)) - (node (ref U1) (pin 7))) - (net (code 11) (name SCK) - (node (ref J1) (pin 3)) - (node (ref U1) (pin 17))) - (net (code 12) (name MISO) - (node (ref U1) (pin 16)) - (node (ref J1) (pin 1))) - (net (code 13) (name MOSI) - (node (ref U1) (pin 15)) - (node (ref J1) (pin 4))) - (net (code 14) (name GND) - (node (ref SW4) (pin S1)) - (node (ref SW3) (pin S1)) - (node (ref SW2) (pin C)) - (node (ref SW2) (pin S1)) - (node (ref SW3) (pin C)) - (node (ref SW4) (pin C)) - (node (ref U1) (pin 5)) - (node (ref U1) (pin 21)) - (node (ref U1) (pin 3)) - (node (ref SW1) (pin C)) - (node (ref SW1) (pin S1)) - (node (ref J2) (pin A1B12)) - (node (ref J2) (pin B1A12)) - (node (ref J2) (pin S1)) - (node (ref J2) (pin S2)) - (node (ref J2) (pin S3)) - (node (ref J2) (pin S4)) - (node (ref J5) (pin 1)) - (node (ref D1) (pin 1)) - (node (ref C3) (pin 2)) - (node (ref C2) (pin 2)) - (node (ref C1) (pin 2)) - (node (ref J1) (pin 6)) - (node (ref SW5) (pin 2)) - (node (ref J3) (pin 1)) - (node (ref J4) (pin 1))) - (net (code 15) (name "Net-(U1-Pad19)") - (node (ref U1) (pin 19))) - (net (code 16) (name "Net-(U1-Pad22)") - (node (ref U1) (pin 22))) - (net (code 17) (name "Net-(U1-Pad14)") - (node (ref U1) (pin 14))) - (net (code 18) (name VCC) - (node (ref U1) (pin 4)) - (node (ref J5) (pin 4)) - (node (ref C3) (pin 1)) - (node (ref U1) (pin 18)) - (node (ref U1) (pin 6)) - (node (ref J2) (pin B4A9)) - (node (ref J2) (pin A4B9)) - (node (ref J1) (pin 2)) - (node (ref R2) (pin 2)) - (node (ref R1) (pin 2))) - (net (code 19) (name "Net-(J2-PadB6)") - (node (ref JP9) (pin 1)) - (node (ref JP10) (pin 1)) - (node (ref J2) (pin B6))) - (net (code 20) (name RX) - (node (ref JP7) (pin 2)) - (node (ref J4) (pin 2)) - (node (ref JP4) (pin 1)) - (node (ref U1) (pin 30))) - (net (code 21) (name "Net-(J2-PadA7)") - (node (ref J2) (pin A7)) - (node (ref JP3) (pin 2)) - (node (ref JP4) (pin 2))) - (net (code 22) (name "Net-(J2-PadA6)") - (node (ref JP1) (pin 2)) - (node (ref J2) (pin A6)) - (node (ref JP2) (pin 2))) + (net (code 21) (name ENC1A) + (node (ref J3) (pin 2)) + (node (ref SW1) (pin A)) + (node (ref U1) (pin 32))) + (net (code 22) (name BTN4) + (node (ref SW4) (pin S2)) + (node (ref J3) (pin 13)) + (node (ref U1) (pin 26))) (net (code 23) (name "Net-(D1-Pad2)") (node (ref R2) (pin 1)) (node (ref D1) (pin 2))) - (net (code 24) (name "Net-(U1-Pad20)") - (node (ref U1) (pin 20))) - (net (code 25) (name BTN4) - (node (ref J3) (pin 13)) - (node (ref SW4) (pin S2)) - (node (ref U1) (pin 26))) - (net (code 26) (name BTN3) + (net (code 24) (name BTN3) + (node (ref SW3) (pin S2)) (node (ref J3) (pin 12)) - (node (ref U1) (pin 25)) - (node (ref SW3) (pin S2))) - (net (code 27) (name BTN2) - (node (ref J3) (pin 11)) + (node (ref U1) (pin 25))) + (net (code 25) (name BTN2) (node (ref SW2) (pin S2)) - (node (ref U1) (pin 24))) - (net (code 28) (name BTN1) + (node (ref U1) (pin 24)) + (node (ref J3) (pin 11))) + (net (code 26) (name BTN1) (node (ref U1) (pin 23)) - (node (ref SW1) (pin S2)) - (node (ref J3) (pin 10))) - (net (code 29) (name ENC4B) - (node (ref J3) (pin 9)) - (node (ref U1) (pin 13)) - (node (ref SW4) (pin B))) - (net (code 30) (name "Net-(J2-PadB8)") - (node (ref JP6) (pin 1)) - (node (ref J2) (pin B8))) - (net (code 31) (name "Net-(J2-PadB5)") + (node (ref J3) (pin 10)) + (node (ref SW1) (pin S2))) + (net (code 27) (name "Net-(U1-Pad22)") + (node (ref U1) (pin 22))) + (net (code 28) (name LED) + (node (ref R3) (pin 2)) + (node (ref U1) (pin 14))) + (net (code 29) (name "Net-(D2-Pad2)") + (node (ref R3) (pin 1)) + (node (ref D2) (pin 2))) + (net (code 30) (name "Net-(U1-Pad20)") + (node (ref U1) (pin 20))) + (net (code 31) (name "Net-(U1-Pad6)") + (node (ref U1) (pin 6))) + (net (code 32) (name "Net-(U1-Pad19)") + (node (ref U1) (pin 19))) + (net (code 33) (name "Net-(U1-Pad3)") + (node (ref U1) (pin 3))) + (net (code 34) (name "Net-(J2-PadB5)") (node (ref J2) (pin B5))) - (net (code 32) (name "Net-(J2-PadA8)") + (net (code 35) (name "Net-(J2-PadB8)") + (node (ref J2) (pin B8)) + (node (ref JP6) (pin 1))) + (net (code 36) (name "Net-(J2-PadA8)") (node (ref JP5) (pin 2)) (node (ref J2) (pin A8))) - (net (code 33) (name "Net-(J2-PadA5)") + (net (code 37) (name "Net-(J2-PadA5)") (node (ref J2) (pin A5))) - (net (code 34) (name ENC1B) - (node (ref SW1) (pin B)) - (node (ref J3) (pin 3)) - (node (ref U1) (pin 1))) - (net (code 35) (name ENC1A) - (node (ref J3) (pin 2)) - (node (ref U1) (pin 32)) - (node (ref SW1) (pin A))) - (net (code 36) (name ENC4A) - (node (ref J3) (pin 8)) - (node (ref SW4) (pin A)) - (node (ref U1) (pin 12))) - (net (code 37) (name "Net-(J2-PadB7)") - (node (ref JP8) (pin 1)) + (net (code 38) (name "Net-(J2-PadB7)") (node (ref JP7) (pin 1)) - (node (ref J2) (pin B7))))) \ No newline at end of file + (node (ref J2) (pin B7)) + (node (ref JP8) (pin 1))) + (net (code 39) (name "Net-(J2-PadA6)") + (node (ref JP1) (pin 2)) + (node (ref J2) (pin A6)) + (node (ref JP2) (pin 2))) + (net (code 40) (name SDA) + (node (ref J5) (pin 2)) + (node (ref JP10) (pin 2)) + (node (ref U1) (pin 27)) + (node (ref JP1) (pin 1))))) \ No newline at end of file diff --git a/Devices/Console/Hardware/Circuit/Console.sch b/Devices/Console/Hardware/Circuit/Console.sch index 1bb992f..7e50710 100644 --- a/Devices/Console/Hardware/Circuit/Console.sch +++ b/Devices/Console/Hardware/Circuit/Console.sch @@ -14,17 +14,6 @@ Comment3 "" Comment4 "Author: GHOSCHT" $EndDescr $Comp -L MCU_Microchip_ATmega:ATmega328P-AU U1 -U 1 1 61124B57 -P 1800 2500 -F 0 "U1" H 1800 2650 50 0000 C CNN -F 1 "ATmega328P-AU" H 1750 2550 50 0000 C CNN -F 2 "Package_QFP:TQFP-32_7x7mm_P0.8mm" H 1800 2500 50 0001 C CIN -F 3 "http://ww1.microchip.com/downloads/en/DeviceDoc/ATmega328_P%20AVR%20MCU%20with%20picoPower%20Technology%20Data%20Sheet%2040001984A.pdf" H 1800 2500 50 0001 C CNN - 1 1800 2500 - 1 0 0 -1 -$EndComp -$Comp L Device:Rotary_Encoder_Switch SW1 U 1 1 6112A1C1 P 7100 1200 @@ -61,51 +50,6 @@ F 3 "~" H 6750 4100 50 0001 C CNN 1 6750 4100 1 0 0 -1 $EndComp -Wire Wire Line - 2400 1900 2500 1900 -Wire Wire Line - 2400 2000 2500 2000 -$Comp -L power:VCC #PWR02 -U 1 1 61153B27 -P 1800 900 -F 0 "#PWR02" H 1800 750 50 0001 C CNN -F 1 "VCC" H 1815 1073 50 0000 C CNN -F 2 "" H 1800 900 50 0001 C CNN -F 3 "" H 1800 900 50 0001 C CNN - 1 1800 900 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1800 900 1800 950 -Wire Wire Line - 1800 950 1900 950 -Wire Wire Line - 1900 950 1900 1000 -Connection ~ 1800 950 -Wire Wire Line - 1800 950 1800 1000 -$Comp -L power:GND #PWR03 -U 1 1 6115A165 -P 1800 4100 -F 0 "#PWR03" H 1800 3850 50 0001 C CNN -F 1 "GND" H 1805 3927 50 0000 C CNN -F 2 "" H 1800 4100 50 0001 C CNN -F 3 "" H 1800 4100 50 0001 C CNN - 1 1800 4100 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1800 4000 1800 4100 -Wire Wire Line - 2400 2800 2500 2800 -Wire Wire Line - 2400 1600 2500 1600 -Wire Wire Line - 2400 1700 2500 1700 -Wire Wire Line - 2400 1800 2500 1800 $Comp L power:VCC #PWR019 U 1 1 6116E743 @@ -188,14 +132,6 @@ Wire Wire Line 9400 4850 9500 4850 Wire Wire Line 7900 4850 8000 4850 -Wire Wire Line - 2400 3000 2500 3000 -Wire Wire Line - 2400 3100 2500 3100 -Wire Wire Line - 2400 2700 2500 2700 -Wire Wire Line - 2400 2600 2500 2600 $Comp L Jumper:SolderJumper_2_Open JP3 U 1 1 6118262C @@ -455,14 +391,6 @@ Wire Wire Line 7400 2650 7500 2650 Wire Wire Line 7400 3300 7500 3300 -Wire Wire Line - 2400 2200 2500 2200 -Wire Wire Line - 2400 2300 2500 2300 -Wire Wire Line - 2400 2400 2500 2400 -Wire Wire Line - 2400 2500 2500 2500 Wire Wire Line 6700 1100 6800 1100 Wire Wire Line @@ -479,25 +407,6 @@ Wire Wire Line 6650 3100 6800 3100 Wire Wire Line 6650 3300 6800 3300 -Wire Wire Line - 2400 1300 2500 1300 -Wire Wire Line - 2400 1400 2500 1400 -Wire Wire Line - 2400 3200 2500 3200 -Wire Wire Line - 2400 3300 2500 3300 -Wire Wire Line - 2400 3400 2500 3400 -Wire Wire Line - 2400 3500 2500 3500 -Wire Wire Line - 2400 3600 2500 3600 -Wire Wire Line - 2400 3700 2500 3700 -NoConn ~ 2400 1500 -NoConn ~ 1200 1600 -NoConn ~ 1200 1500 $Comp L Connector_Generic:Conn_01x13 J3 U 1 1 61285557 @@ -653,48 +562,6 @@ Wire Notes Line 6300 750 6300 3500 Text Notes 6300 750 0 50 ~ 0 Encoder input -Text GLabel 2500 1300 2 50 Input ~ 0 -ENC4A -Text GLabel 2500 1400 2 50 Input ~ 0 -ENC4B -Text GLabel 2500 1600 2 50 Input ~ 0 -MOSI -Text GLabel 2500 1700 2 50 Input ~ 0 -MISO -Text GLabel 2500 1800 2 50 Input ~ 0 -SCK -Text GLabel 2500 1900 2 50 Input ~ 0 -XTAL1 -Text GLabel 2500 2000 2 50 Input ~ 0 -XTAL2 -Text GLabel 2500 2200 2 50 Input ~ 0 -BTN1 -Text GLabel 2500 2300 2 50 Input ~ 0 -BTN2 -Text GLabel 2500 2400 2 50 Input ~ 0 -BTN3 -Text GLabel 2500 2500 2 50 Input ~ 0 -BTN4 -Text GLabel 2500 2600 2 50 Input ~ 0 -SDA -Text GLabel 2500 2700 2 50 Input ~ 0 -SCL -Text GLabel 2500 2800 2 50 Input ~ 0 -RST -Text GLabel 2500 3100 2 50 Input ~ 0 -TX -Text GLabel 2500 3200 2 50 Input ~ 0 -ENC1A -Text GLabel 2500 3300 2 50 Input ~ 0 -ENC1B -Text GLabel 2500 3400 2 50 Input ~ 0 -ENC2A -Text GLabel 2500 3500 2 50 Input ~ 0 -ENC2B -Text GLabel 2500 3600 2 50 Input ~ 0 -ENC3A -Text GLabel 2500 3700 2 50 Input ~ 0 -ENC3B Text GLabel 6700 1100 0 50 Input ~ 0 ENC1A Text GLabel 6700 1300 0 50 Input ~ 0 @@ -719,8 +586,6 @@ Text GLabel 7500 2650 2 50 Input ~ 0 BTN3 Text GLabel 7500 3300 2 50 Input ~ 0 BTN4 -Text GLabel 2500 3000 2 50 Input ~ 0 -RX Text GLabel 8550 2400 0 50 Input ~ 0 RX Text GLabel 8550 2500 0 50 Input ~ 0 @@ -773,13 +638,10 @@ Text GLabel 10750 5000 2 50 Input ~ 0 SDA Text GLabel 6550 5300 0 50 Input ~ 0 RST -Text Notes 0 150 0 79 ~ 16 -Todo: add reset button, status rgb led and power led Wire Wire Line 7050 5000 6900 5000 Text GLabel 10750 3800 2 50 Input ~ 0 RST -NoConn ~ 1200 1300 Wire Notes Line 6300 5550 11000 5550 Text Notes 1800 6950 0 50 ~ 0 @@ -916,8 +778,8 @@ Wire Wire Line 3700 6850 3700 7000 Text Notes 1800 5700 0 50 ~ 0 Reset pullup -Text Notes 2450 5700 0 50 ~ 0 -Power decoupling capacitor +Text Notes 550 2100 0 50 ~ 0 +ATmega decoupling capacitor Wire Notes Line 2200 5700 1800 5700 Wire Notes Line @@ -927,13 +789,13 @@ Wire Notes Line Wire Notes Line 1800 5700 1800 6800 Wire Notes Line - 2950 5700 2450 5700 + 1050 2100 550 2100 Wire Notes Line - 2950 6800 2950 5700 + 1050 3200 1050 2100 Wire Notes Line - 2450 6800 2950 6800 + 550 3200 1050 3200 Wire Notes Line - 2450 5700 2450 6800 + 550 2100 550 3200 Text Notes 550 5700 0 50 ~ 0 ATmega oscillator Wire Notes Line @@ -1093,40 +955,40 @@ Wire Wire Line 1300 6050 1300 6300 Connection ~ 1300 6300 Wire Wire Line - 2600 6400 2600 6500 + 700 2800 700 2900 Wire Wire Line - 2600 6000 2600 6100 + 700 2400 700 2500 $Comp L Device:C C3 U 1 1 6115564D -P 2600 6250 -F 0 "C3" H 2715 6296 50 0000 L CNN -F 1 "100n" H 2715 6205 50 0000 L CNN -F 2 "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" H 2638 6100 50 0001 C CNN -F 3 "~" H 2600 6250 50 0001 C CNN - 1 2600 6250 +P 700 2650 +F 0 "C3" H 815 2696 50 0000 L CNN +F 1 "100n" H 815 2605 50 0000 L CNN +F 2 "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" H 738 2500 50 0001 C CNN +F 3 "~" H 700 2650 50 0001 C CNN + 1 700 2650 1 0 0 -1 $EndComp $Comp L power:GND #PWR06 U 1 1 61155121 -P 2600 6500 -F 0 "#PWR06" H 2600 6250 50 0001 C CNN -F 1 "GND" H 2605 6327 50 0000 C CNN -F 2 "" H 2600 6500 50 0001 C CNN -F 3 "" H 2600 6500 50 0001 C CNN - 1 2600 6500 +P 700 2900 +F 0 "#PWR06" H 700 2650 50 0001 C CNN +F 1 "GND" H 705 2727 50 0000 C CNN +F 2 "" H 700 2900 50 0001 C CNN +F 3 "" H 700 2900 50 0001 C CNN + 1 700 2900 1 0 0 -1 $EndComp $Comp L power:VCC #PWR05 U 1 1 61154991 -P 2600 6000 -F 0 "#PWR05" H 2600 5850 50 0001 C CNN -F 1 "VCC" H 2615 6173 50 0000 C CNN -F 2 "" H 2600 6000 50 0001 C CNN -F 3 "" H 2600 6000 50 0001 C CNN - 1 2600 6000 +P 700 2400 +F 0 "#PWR05" H 700 2250 50 0001 C CNN +F 1 "VCC" H 715 2573 50 0000 C CNN +F 2 "" H 700 2400 50 0001 C CNN +F 3 "" H 700 2400 50 0001 C CNN + 1 700 2400 1 0 0 -1 $EndComp $Comp @@ -1206,32 +1068,234 @@ F 3 "~" H 10550 5000 50 0001 C CNN 1 10550 5000 1 0 0 -1 $EndComp -Text GLabel 2050 5000 0 50 Input ~ 0 +Text GLabel 2600 6050 0 50 Input ~ 0 RST Wire Wire Line - 2050 5000 2150 5000 + 2600 6050 2700 6050 Wire Wire Line - 2550 5000 2650 5000 + 3100 6050 3200 6050 $Comp L power:GND #PWR0103 U 1 1 612E73C3 -P 2650 5000 -F 0 "#PWR0103" H 2650 4750 50 0001 C CNN -F 1 "GND" H 2655 4827 50 0000 C CNN -F 2 "" H 2650 5000 50 0001 C CNN -F 3 "" H 2650 5000 50 0001 C CNN - 1 2650 5000 +P 3200 6050 +F 0 "#PWR0103" H 3200 5800 50 0001 C CNN +F 1 "GND" H 3205 5877 50 0000 C CNN +F 2 "" H 3200 6050 50 0001 C CNN +F 3 "" H 3200 6050 50 0001 C CNN + 1 3200 6050 0 -1 -1 0 $EndComp $Comp L Switch:SW_Push SW5 U 1 1 6132D0B4 -P 2350 5000 -F 0 "SW5" H 2350 5285 50 0000 C CNN -F 1 "SW_Push" H 2350 5194 50 0000 C CNN -F 2 "SKRKAEE020:SKRKAEE020" H 2350 5200 50 0001 C CNN -F 3 "~" H 2350 5200 50 0001 C CNN - 1 2350 5000 +P 2900 6050 +F 0 "SW5" H 2900 6335 50 0000 C CNN +F 1 "SW_Push" H 2900 6244 50 0000 C CNN +F 2 "SKRKAEE020:SKRKAEE020" H 2900 6250 50 0001 C CNN +F 3 "~" H 2900 6250 50 0001 C CNN + 1 2900 6050 1 0 0 -1 $EndComp +Wire Notes Line + 2350 5700 2350 6200 +Wire Notes Line + 2350 6200 3450 6200 +Wire Notes Line + 3450 6200 3450 5700 +Wire Notes Line + 3450 5700 2350 5700 +Text Notes 2350 5700 0 50 ~ 0 +Reset button +$Comp +L MCU_Microchip_ATmega:ATmega328PB-AU U1 +U 1 1 61C8D3E7 +P 2250 2500 +F 0 "U1" H 2200 2600 50 0000 C CNN +F 1 "ATmega328PB-AU" H 2150 2500 50 0000 C CNN +F 2 "Package_QFP:TQFP-32_7x7mm_P0.8mm" H 2250 2500 50 0001 C CIN +F 3 "http://ww1.microchip.com/downloads/en/DeviceDoc/40001906C.pdf" H 2250 2500 50 0001 C CNN + 1 2250 2500 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR03 +U 1 1 61C958B0 +P 2250 4100 +F 0 "#PWR03" H 2250 3850 50 0001 C CNN +F 1 "GND" H 2255 3927 50 0000 C CNN +F 2 "" H 2250 4100 50 0001 C CNN +F 3 "" H 2250 4100 50 0001 C CNN + 1 2250 4100 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2250 4000 2250 4100 +$Comp +L power:VCC #PWR02 +U 1 1 61CA7464 +P 2250 900 +F 0 "#PWR02" H 2250 750 50 0001 C CNN +F 1 "VCC" H 2265 1073 50 0000 C CNN +F 2 "" H 2250 900 50 0001 C CNN +F 3 "" H 2250 900 50 0001 C CNN + 1 2250 900 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2250 900 2250 950 +Wire Wire Line + 2250 950 2350 950 +Wire Wire Line + 2350 950 2350 1000 +Connection ~ 2250 950 +Wire Wire Line + 2250 950 2250 1000 +Wire Wire Line + 2850 1900 2950 1900 +Wire Wire Line + 2850 2000 2950 2000 +Wire Wire Line + 2850 2800 2950 2800 +Wire Wire Line + 2850 1600 2950 1600 +Wire Wire Line + 2850 1700 2950 1700 +Wire Wire Line + 2850 1800 2950 1800 +Wire Wire Line + 2850 3000 2950 3000 +Wire Wire Line + 2850 3100 2950 3100 +Wire Wire Line + 2850 2700 2950 2700 +Wire Wire Line + 2850 2600 2950 2600 +Wire Wire Line + 2850 2200 2950 2200 +Wire Wire Line + 2850 2300 2950 2300 +Wire Wire Line + 2850 2400 2950 2400 +Wire Wire Line + 2850 2500 2950 2500 +Wire Wire Line + 2850 1300 2950 1300 +Wire Wire Line + 2850 1400 2950 1400 +Wire Wire Line + 2850 3200 2950 3200 +Wire Wire Line + 2850 3300 2950 3300 +Wire Wire Line + 2850 3400 2950 3400 +Wire Wire Line + 2850 3500 2950 3500 +Wire Wire Line + 2850 3600 2950 3600 +Wire Wire Line + 2850 3700 2950 3700 +Text GLabel 2950 1300 2 50 Input ~ 0 +ENC4A +Text GLabel 2950 1400 2 50 Input ~ 0 +ENC4B +Text GLabel 2950 1600 2 50 Input ~ 0 +MOSI +Text GLabel 2950 1700 2 50 Input ~ 0 +MISO +Text GLabel 2950 1800 2 50 Input ~ 0 +SCK +Text GLabel 2950 1900 2 50 Input ~ 0 +XTAL1 +Text GLabel 2950 2000 2 50 Input ~ 0 +XTAL2 +Text GLabel 2950 2200 2 50 Input ~ 0 +BTN1 +Text GLabel 2950 2300 2 50 Input ~ 0 +BTN2 +Text GLabel 2950 2400 2 50 Input ~ 0 +BTN3 +Text GLabel 2950 2500 2 50 Input ~ 0 +BTN4 +Text GLabel 2950 2600 2 50 Input ~ 0 +SDA +Text GLabel 2950 2700 2 50 Input ~ 0 +SCL +Text GLabel 2950 2800 2 50 Input ~ 0 +RST +Text GLabel 2950 3100 2 50 Input ~ 0 +TX +Text GLabel 2950 3200 2 50 Input ~ 0 +ENC1A +Text GLabel 2950 3300 2 50 Input ~ 0 +ENC1B +Text GLabel 2950 3400 2 50 Input ~ 0 +ENC2A +Text GLabel 2950 3500 2 50 Input ~ 0 +ENC2B +Text GLabel 2950 3600 2 50 Input ~ 0 +ENC3A +Text GLabel 2950 3700 2 50 Input ~ 0 +ENC3B +Text GLabel 2950 3000 2 50 Input ~ 0 +RX +NoConn ~ 1650 3000 +NoConn ~ 1650 3200 +NoConn ~ 1650 3100 +NoConn ~ 1650 3300 +NoConn ~ 1650 1300 +Text Notes 3600 3950 0 50 ~ 0 +Status LED +Wire Notes Line + 4900 3950 3600 3950 +Wire Notes Line + 4900 5500 4900 3950 +Wire Notes Line + 3600 5500 4900 5500 +Wire Notes Line + 3600 3950 3600 5500 +$Comp +L Device:LED D2 +U 1 1 61D1A3B1 +P 3700 4950 +F 0 "D2" V 3739 4832 50 0000 R CNN +F 1 "17-21/BHC-XL2M2TY/3T" V 3648 4832 50 0000 R CNN +F 2 "LED_SMD:LED_0805_2012Metric_Castellated" H 3700 4950 50 0001 C CNN +F 3 "~" H 3700 4950 50 0001 C CNN + 1 3700 4950 + 0 -1 -1 0 +$EndComp +$Comp +L Device:R R3 +U 1 1 61D1A3B7 +P 3700 4500 +F 0 "R3" H 3630 4454 50 0000 R CNN +F 1 "200" H 3630 4545 50 0000 R CNN +F 2 "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" V 3630 4500 50 0001 C CNN +F 3 "~" H 3700 4500 50 0001 C CNN + 1 3700 4500 + -1 0 0 1 +$EndComp +$Comp +L power:GND #PWR028 +U 1 1 61D1A3BD +P 3700 5250 +F 0 "#PWR028" H 3700 5000 50 0001 C CNN +F 1 "GND" H 3705 5077 50 0000 C CNN +F 2 "" H 3700 5250 50 0001 C CNN +F 3 "" H 3700 5250 50 0001 C CNN + 1 3700 5250 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3700 4200 3700 4350 +Wire Wire Line + 3700 4650 3700 4800 +Wire Wire Line + 3700 5100 3700 5250 +Text GLabel 3700 4200 1 50 Input ~ 0 +LED +Text GLabel 2950 1500 2 50 Input ~ 0 +LED +Wire Wire Line + 2850 1500 2950 1500 $EndSCHEMATC