Add basic component selection to control

This commit is contained in:
GHOSCHT 2021-08-13 12:13:03 +02:00
parent 33fab696fc
commit fb68406a39
15 changed files with 61206 additions and 23 deletions

File diff suppressed because it is too large Load diff

View file

@ -0,0 +1,670 @@
(export (version D)
(design
(source "D:\\Dokumente\\Coding\\Visual Studio Code\\smart-lamp-control\\Devices\\Control\\Hardware\\Circuit\\Control.sch")
(date "13.08.2021 12:01:42")
(tool "Eeschema (5.1.10)-1")
(sheet (number 1) (name /) (tstamps /)
(title_block
(title "LightControl Console")
(company)
(rev v00)
(date 2021-08-10)
(source Control.sch)
(comment (number 1) (value ""))
(comment (number 2) (value ""))
(comment (number 3) (value ""))
(comment (number 4) (value "Author: GHOSCHT")))))
(components
(comp (ref U1)
(value ATmega328P-AU)
(footprint Package_QFP:TQFP-32_7x7mm_P0.8mm)
(datasheet http://ww1.microchip.com/downloads/en/DeviceDoc/ATmega328_P%20AVR%20MCU%20with%20picoPower%20Technology%20Data%20Sheet%2040001984A.pdf)
(libsource (lib MCU_Microchip_ATmega) (part ATmega328P-AU) (description "20MHz, 32kB Flash, 2kB SRAM, 1kB EEPROM, TQFP-32"))
(sheetpath (names /) (tstamps /))
(tstamp 61124B57))
(comp (ref J3)
(value TYPE-C-31-M-12)
(footprint HRO_TYPE-C-31-M-12:HRO_TYPE-C-31-M-12)
(datasheet https://datasheet.lcsc.com/lcsc/1811131825_Korean-Hroparts-Elec-TYPE-C-31-M-12_C165948.pdf)
(fields
(field (name MANUFACTURER) "HRO Electronics")
(field (name MAXIMUM_PACKAGE_HEIGHT) 3.31mm)
(field (name PARTREV) A)
(field (name STANDARD) "Manufacturer Recommendations"))
(libsource (lib TYPE-C-31-M-12) (part TYPE-C-31-M-12) (description ""))
(sheetpath (names /) (tstamps /))
(tstamp 6112D89A))
(comp (ref JP1)
(value SolderJumper)
(footprint Jumper:SolderJumper-2_P1.3mm_Open_Pad1.0x1.5mm)
(datasheet ~)
(libsource (lib Jumper) (part SolderJumper_2_Open) (description "Solder Jumper, 2-pole, open"))
(sheetpath (names /) (tstamps /))
(tstamp 6113282A))
(comp (ref JP3)
(value SolderJumper)
(footprint Jumper:SolderJumper-2_P1.3mm_Open_Pad1.0x1.5mm)
(datasheet ~)
(libsource (lib Jumper) (part SolderJumper_2_Open) (description "Solder Jumper, 2-pole, open"))
(sheetpath (names /) (tstamps /))
(tstamp 6118262C))
(comp (ref JP10)
(value SolderJumper)
(footprint Jumper:SolderJumper-2_P1.3mm_Open_Pad1.0x1.5mm)
(datasheet ~)
(libsource (lib Jumper) (part SolderJumper_2_Open) (description "Solder Jumper, 2-pole, open"))
(sheetpath (names /) (tstamps /))
(tstamp 611A3577))
(comp (ref JP8)
(value SolderJumper)
(footprint Jumper:SolderJumper-2_P1.3mm_Open_Pad1.0x1.5mm)
(datasheet ~)
(libsource (lib Jumper) (part SolderJumper_2_Open) (description "Solder Jumper, 2-pole, open"))
(sheetpath (names /) (tstamps /))
(tstamp 611A3587))
(comp (ref J4)
(value Conn_01x13)
(footprint Connector_PinHeader_1.00mm:PinHeader_1x13_P1.00mm_Vertical)
(datasheet ~)
(libsource (lib Connector_Generic) (part Conn_01x13) (description "Generic connector, single row, 01x13, script generated (kicad-library-utils/schlib/autogen/connector/)"))
(sheetpath (names /) (tstamps /))
(tstamp 61285557))
(comp (ref J6)
(value Conn_01x04)
(footprint Connector_PinHeader_1.00mm:PinHeader_1x04_P1.00mm_Vertical)
(datasheet ~)
(libsource (lib Connector_Generic) (part Conn_01x04) (description "Generic connector, single row, 01x04, script generated (kicad-library-utils/schlib/autogen/connector/)"))
(sheetpath (names /) (tstamps /))
(tstamp 613021A3))
(comp (ref J5)
(value Conn_01x04)
(footprint Connector_PinHeader_1.00mm:PinHeader_1x04_P1.00mm_Vertical)
(datasheet ~)
(libsource (lib Connector_Generic) (part Conn_01x04) (description "Generic connector, single row, 01x04, script generated (kicad-library-utils/schlib/autogen/connector/)"))
(sheetpath (names /) (tstamps /))
(tstamp 613031C0))
(comp (ref JP6)
(value SolderJumper)
(footprint Jumper:SolderJumper-2_P1.3mm_Open_Pad1.0x1.5mm)
(datasheet ~)
(libsource (lib Jumper) (part SolderJumper_2_Open) (description "Solder Jumper, 2-pole, open"))
(sheetpath (names /) (tstamps /))
(tstamp 611BB7CB))
(comp (ref JP9)
(value SolderJumper)
(footprint Jumper:SolderJumper-2_P1.3mm_Open_Pad1.0x1.5mm)
(datasheet ~)
(libsource (lib Jumper) (part SolderJumper_2_Open) (description "Solder Jumper, 2-pole, open"))
(sheetpath (names /) (tstamps /))
(tstamp 611A3581))
(comp (ref JP7)
(value SolderJumper)
(footprint Jumper:SolderJumper-2_P1.3mm_Open_Pad1.0x1.5mm)
(datasheet ~)
(libsource (lib Jumper) (part SolderJumper_2_Open) (description "Solder Jumper, 2-pole, open"))
(sheetpath (names /) (tstamps /))
(tstamp 611A358D))
(comp (ref JP5)
(value SolderJumper)
(footprint Jumper:SolderJumper-2_P1.3mm_Open_Pad1.0x1.5mm)
(datasheet ~)
(libsource (lib Jumper) (part SolderJumper_2_Open) (description "Solder Jumper, 2-pole, open"))
(sheetpath (names /) (tstamps /))
(tstamp 611B209C))
(comp (ref JP4)
(value SolderJumper)
(footprint Jumper:SolderJumper-2_P1.3mm_Open_Pad1.0x1.5mm)
(datasheet ~)
(libsource (lib Jumper) (part SolderJumper_2_Open) (description "Solder Jumper, 2-pole, open"))
(sheetpath (names /) (tstamps /))
(tstamp 61182926))
(comp (ref JP2)
(value SolderJumper)
(footprint Jumper:SolderJumper-2_P1.3mm_Open_Pad1.0x1.5mm)
(datasheet ~)
(libsource (lib Jumper) (part SolderJumper_2_Open) (description "Solder Jumper, 2-pole, open"))
(sheetpath (names /) (tstamps /))
(tstamp 611823D1))
(comp (ref D1)
(value 17-21/BHC-XL2M2TY/3T)
(footprint LED_SMD:LED_0805_2012Metric_Castellated)
(datasheet ~)
(libsource (lib Device) (part LED) (description "Light emitting diode"))
(sheetpath (names /) (tstamps /))
(tstamp 6113FB23))
(comp (ref R2)
(value 200)
(footprint Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 6114AFDD))
(comp (ref R1)
(value 10k)
(footprint Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 611669B4))
(comp (ref J2)
(value AVR-ISP-6)
(footprint AVR-ISP:AVR-ISP)
(datasheet " ~")
(libsource (lib Connector) (part AVR-ISP-6) (description "Atmel 6-pin ISP connector"))
(sheetpath (names /) (tstamps /))
(tstamp 61134728))
(comp (ref Y1)
(value 16MHz)
(footprint Crystal:Crystal_SMD_HC49-SD_HandSoldering)
(datasheet ~)
(libsource (lib Device) (part Crystal) (description "Two pin crystal"))
(sheetpath (names /) (tstamps /))
(tstamp 6113484F))
(comp (ref C1)
(value 22p)
(footprint Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 6113DC2F))
(comp (ref C2)
(value 22p)
(footprint Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 611448F1))
(comp (ref C3)
(value 100n)
(footprint Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 6115564D))
(comp (ref J1)
(value Screw_Terminal_01x03)
(footprint CUI_TB006-508-03BE:CUI_TB006-508-03BE)
(datasheet ~)
(libsource (lib Connector) (part Screw_Terminal_01x03) (description "Generic screw terminal, single row, 01x03, script generated (kicad-library-utils/schlib/autogen/connector/)"))
(sheetpath (names /) (tstamps /))
(tstamp 6117AFF9))
(comp (ref J7)
(value TYPE-C-31-M-12)
(footprint HRO_TYPE-C-31-M-12:HRO_TYPE-C-31-M-12)
(datasheet https://datasheet.lcsc.com/lcsc/1811131825_Korean-Hroparts-Elec-TYPE-C-31-M-12_C165948.pdf)
(fields
(field (name MANUFACTURER) "HRO Electronics")
(field (name MAXIMUM_PACKAGE_HEIGHT) 3.31mm)
(field (name PARTREV) A)
(field (name STANDARD) "Manufacturer Recommendations"))
(libsource (lib TYPE-C-31-M-12) (part TYPE-C-31-M-12) (description ""))
(sheetpath (names /) (tstamps /))
(tstamp 6118AF6C))
(comp (ref U2)
(value CH340C)
(footprint Package_SO:SOIC-16_3.9x9.9mm_P1.27mm)
(datasheet https://datasheet.lcsc.com/szlcsc/Jiangsu-Qin-Heng-CH340C_C84681.pdf)
(libsource (lib Interface_USB) (part CH340C) (description "USB serial converter, UART, SOIC-16"))
(sheetpath (names /) (tstamps /))
(tstamp 6118DFFB)))
(libparts
(libpart (lib Connector) (part AVR-ISP-6)
(description "Atmel 6-pin ISP connector")
(docs " ~")
(footprints
(fp IDC?Header*2x03*)
(fp Pin?Header*2x03*))
(fields
(field (name Reference) J)
(field (name Value) AVR-ISP-6))
(pins
(pin (num 1) (name MISO) (type passive))
(pin (num 2) (name VCC) (type passive))
(pin (num 3) (name SCK) (type passive))
(pin (num 4) (name MOSI) (type passive))
(pin (num 5) (name ~RST) (type passive))
(pin (num 6) (name GND) (type passive))))
(libpart (lib Connector) (part Screw_Terminal_01x03)
(description "Generic screw terminal, single row, 01x03, script generated (kicad-library-utils/schlib/autogen/connector/)")
(docs ~)
(footprints
(fp TerminalBlock*:*))
(fields
(field (name Reference) J)
(field (name Value) Screw_Terminal_01x03))
(pins
(pin (num 1) (name Pin_1) (type passive))
(pin (num 2) (name Pin_2) (type passive))
(pin (num 3) (name Pin_3) (type passive))))
(libpart (lib Connector_Generic) (part Conn_01x04)
(description "Generic connector, single row, 01x04, script generated (kicad-library-utils/schlib/autogen/connector/)")
(docs ~)
(footprints
(fp Connector*:*_1x??_*))
(fields
(field (name Reference) J)
(field (name Value) Conn_01x04))
(pins
(pin (num 1) (name Pin_1) (type passive))
(pin (num 2) (name Pin_2) (type passive))
(pin (num 3) (name Pin_3) (type passive))
(pin (num 4) (name Pin_4) (type passive))))
(libpart (lib Connector_Generic) (part Conn_01x13)
(description "Generic connector, single row, 01x13, script generated (kicad-library-utils/schlib/autogen/connector/)")
(docs ~)
(footprints
(fp Connector*:*_1x??_*))
(fields
(field (name Reference) J)
(field (name Value) Conn_01x13))
(pins
(pin (num 1) (name Pin_1) (type passive))
(pin (num 2) (name Pin_2) (type passive))
(pin (num 3) (name Pin_3) (type passive))
(pin (num 4) (name Pin_4) (type passive))
(pin (num 5) (name Pin_5) (type passive))
(pin (num 6) (name Pin_6) (type passive))
(pin (num 7) (name Pin_7) (type passive))
(pin (num 8) (name Pin_8) (type passive))
(pin (num 9) (name Pin_9) (type passive))
(pin (num 10) (name Pin_10) (type passive))
(pin (num 11) (name Pin_11) (type passive))
(pin (num 12) (name Pin_12) (type passive))
(pin (num 13) (name Pin_13) (type passive))))
(libpart (lib Device) (part C)
(description "Unpolarized capacitor")
(docs ~)
(footprints
(fp C_*))
(fields
(field (name Reference) C)
(field (name Value) C))
(pins
(pin (num 1) (name ~) (type passive))
(pin (num 2) (name ~) (type passive))))
(libpart (lib Device) (part Crystal)
(description "Two pin crystal")
(docs ~)
(footprints
(fp Crystal*))
(fields
(field (name Reference) Y)
(field (name Value) Crystal))
(pins
(pin (num 1) (name 1) (type passive))
(pin (num 2) (name 2) (type passive))))
(libpart (lib Device) (part LED)
(description "Light emitting diode")
(docs ~)
(footprints
(fp LED*)
(fp LED_SMD:*)
(fp LED_THT:*))
(fields
(field (name Reference) D)
(field (name Value) LED))
(pins
(pin (num 1) (name K) (type passive))
(pin (num 2) (name A) (type passive))))
(libpart (lib Device) (part R)
(description Resistor)
(docs ~)
(footprints
(fp R_*))
(fields
(field (name Reference) R)
(field (name Value) R))
(pins
(pin (num 1) (name ~) (type passive))
(pin (num 2) (name ~) (type passive))))
(libpart (lib Interface_USB) (part CH340C)
(description "USB serial converter, UART, SOIC-16")
(docs https://datasheet.lcsc.com/szlcsc/Jiangsu-Qin-Heng-CH340C_C84681.pdf)
(footprints
(fp SOIC*3.9x9.9mm*P1.27mm*))
(fields
(field (name Reference) U)
(field (name Value) CH340C)
(field (name Footprint) Package_SO:SOIC-16_3.9x9.9mm_P1.27mm))
(pins
(pin (num 1) (name GND) (type power_in))
(pin (num 2) (name TXD) (type output))
(pin (num 3) (name RXD) (type input))
(pin (num 4) (name V3) (type passive))
(pin (num 5) (name UD+) (type BiDi))
(pin (num 6) (name UD-) (type BiDi))
(pin (num 7) (name NC) (type NotConnected))
(pin (num 8) (name NC) (type NotConnected))
(pin (num 9) (name ~CTS) (type input))
(pin (num 10) (name ~DSR) (type input))
(pin (num 11) (name ~RI) (type input))
(pin (num 12) (name ~DCD) (type input))
(pin (num 13) (name ~DTR) (type output))
(pin (num 14) (name ~RTS) (type output))
(pin (num 15) (name R232) (type input))
(pin (num 16) (name VCC) (type power_in))))
(libpart (lib Jumper) (part SolderJumper_2_Open)
(description "Solder Jumper, 2-pole, open")
(docs ~)
(footprints
(fp SolderJumper*Open*))
(fields
(field (name Reference) JP)
(field (name Value) SolderJumper_2_Open))
(pins
(pin (num 1) (name A) (type passive))
(pin (num 2) (name B) (type passive))))
(libpart (lib MCU_Microchip_ATmega) (part ATmega48PV-10AU)
(aliases
(alias ATmega48P-20AU)
(alias ATmega48A-AU)
(alias ATmega48PA-AU)
(alias ATmega88PV-10AU)
(alias ATmega88P-20AU)
(alias ATmega88A-AU)
(alias ATmega88PA-AU)
(alias ATmega168PV-10AU)
(alias ATmega168P-20AU)
(alias ATmega168A-AU)
(alias ATmega168PA-AU)
(alias ATmega328-AU)
(alias ATmega328P-AU))
(description "10MHz, 4kB Flash, 512B SRAM, 256B EEPROM, TQFP-32")
(docs http://ww1.microchip.com/downloads/en/DeviceDoc/Atmel-8025-8-bit-AVR-Microcontroller-ATmega48P-88P-168P_Datasheet.pdf)
(footprints
(fp TQFP*7x7mm*P0.8mm*))
(fields
(field (name Reference) U)
(field (name Value) ATmega48PV-10AU)
(field (name Footprint) Package_QFP:TQFP-32_7x7mm_P0.8mm))
(pins
(pin (num 1) (name PD3) (type BiDi))
(pin (num 2) (name PD4) (type BiDi))
(pin (num 3) (name GND) (type power_in))
(pin (num 4) (name VCC) (type power_in))
(pin (num 5) (name GND) (type passive))
(pin (num 6) (name VCC) (type passive))
(pin (num 7) (name XTAL1/PB6) (type BiDi))
(pin (num 8) (name XTAL2/PB7) (type BiDi))
(pin (num 9) (name PD5) (type BiDi))
(pin (num 10) (name PD6) (type BiDi))
(pin (num 11) (name PD7) (type BiDi))
(pin (num 12) (name PB0) (type BiDi))
(pin (num 13) (name PB1) (type BiDi))
(pin (num 14) (name PB2) (type BiDi))
(pin (num 15) (name PB3) (type BiDi))
(pin (num 16) (name PB4) (type BiDi))
(pin (num 17) (name PB5) (type BiDi))
(pin (num 18) (name AVCC) (type power_in))
(pin (num 19) (name ADC6) (type input))
(pin (num 20) (name AREF) (type passive))
(pin (num 21) (name GND) (type passive))
(pin (num 22) (name ADC7) (type input))
(pin (num 23) (name PC0) (type BiDi))
(pin (num 24) (name PC1) (type BiDi))
(pin (num 25) (name PC2) (type BiDi))
(pin (num 26) (name PC3) (type BiDi))
(pin (num 27) (name PC4) (type BiDi))
(pin (num 28) (name PC5) (type BiDi))
(pin (num 29) (name ~RESET~/PC6) (type BiDi))
(pin (num 30) (name PD0) (type BiDi))
(pin (num 31) (name PD1) (type BiDi))
(pin (num 32) (name PD2) (type BiDi))))
(libpart (lib TYPE-C-31-M-12) (part TYPE-C-31-M-12)
(fields
(field (name Reference) J)
(field (name Value) TYPE-C-31-M-12)
(field (name Footprint) HRO_TYPE-C-31-M-12)
(field (name MAXIMUM_PACKAGE_HEIGHT) 3.31mm)
(field (name STANDARD) "Manufacturer Recommendations")
(field (name PARTREV) A)
(field (name MANUFACTURER) "HRO Electronics"))
(pins
(pin (num A5) (name CC1) (type BiDi))
(pin (num A6) (name DP1) (type BiDi))
(pin (num A7) (name DN1) (type BiDi))
(pin (num A8) (name SBU1) (type BiDi))
(pin (num A1B12) (name GND) (type power_in))
(pin (num A4B9) (name VBUS) (type power_in))
(pin (num B5) (name CC2) (type BiDi))
(pin (num B6) (name DP2) (type BiDi))
(pin (num B7) (name DN2) (type BiDi))
(pin (num B8) (name SBU2) (type BiDi))
(pin (num B1A12) (name GND) (type power_in))
(pin (num B4A9) (name VBUS) (type power_in))
(pin (num S1) (name SHIELD) (type passive))
(pin (num S2) (name SHIELD) (type passive))
(pin (num S3) (name SHIELD) (type passive))
(pin (num S4) (name SHIELD) (type passive)))))
(libraries
(library (logical Connector)
(uri "C:\\Program Files\\KiCad\\share\\kicad\\library/Connector.lib"))
(library (logical Connector_Generic)
(uri "C:\\Program Files\\KiCad\\share\\kicad\\library/Connector_Generic.lib"))
(library (logical Device)
(uri "C:\\Program Files\\KiCad\\share\\kicad\\library/Device.lib"))
(library (logical Interface_USB)
(uri "C:\\Program Files\\KiCad\\share\\kicad\\library/Interface_USB.lib"))
(library (logical Jumper)
(uri "C:\\Program Files\\KiCad\\share\\kicad\\library/Jumper.lib"))
(library (logical MCU_Microchip_ATmega)
(uri "C:\\Program Files\\KiCad\\share\\kicad\\library/MCU_Microchip_ATmega.lib"))
(library (logical TYPE-C-31-M-12)
(uri "D:\\Dokumente\\Coding\\Visual Studio Code\\smart-lamp-control\\Devices\\Control\\Hardware\\Circuit/Libraries/TYPE-C-31-M-12.lib")))
(nets
(net (code 1) (name "Net-(J3-PadA7)")
(node (ref JP4) (pin 2))
(node (ref JP3) (pin 2))
(node (ref J3) (pin A7)))
(net (code 2) (name SDA)
(node (ref U1) (pin 27))
(node (ref JP1) (pin 1))
(node (ref J6) (pin 2))
(node (ref JP10) (pin 1)))
(net (code 3) (name ENC4B)
(node (ref J4) (pin 9))
(node (ref U1) (pin 13)))
(net (code 4) (name ENC4A)
(node (ref J4) (pin 8))
(node (ref U1) (pin 12)))
(net (code 5) (name ENC2B)
(node (ref J4) (pin 5))
(node (ref U1) (pin 9)))
(net (code 6) (name ENC3B)
(node (ref U1) (pin 11))
(node (ref J4) (pin 7)))
(net (code 7) (name ENC3A)
(node (ref U1) (pin 10))
(node (ref J4) (pin 6)))
(net (code 8) (name ENC2A)
(node (ref J4) (pin 4))
(node (ref U1) (pin 2)))
(net (code 9) (name MOSI)
(node (ref J2) (pin 4))
(node (ref U1) (pin 15)))
(net (code 10) (name MISO)
(node (ref U1) (pin 16))
(node (ref J2) (pin 1)))
(net (code 11) (name SCK)
(node (ref J2) (pin 3))
(node (ref U1) (pin 17)))
(net (code 12) (name VCC)
(node (ref R1) (pin 2))
(node (ref J3) (pin B4A9))
(node (ref R2) (pin 2))
(node (ref C3) (pin 1))
(node (ref U1) (pin 18))
(node (ref J2) (pin 2))
(node (ref J6) (pin 4))
(node (ref U1) (pin 4))
(node (ref U1) (pin 6))
(node (ref J3) (pin A4B9)))
(net (code 13) (name GND)
(node (ref J3) (pin S2))
(node (ref C2) (pin 2))
(node (ref J2) (pin 6))
(node (ref C1) (pin 2))
(node (ref J3) (pin S3))
(node (ref J3) (pin S1))
(node (ref J3) (pin B1A12))
(node (ref J3) (pin A1B12))
(node (ref U1) (pin 5))
(node (ref J4) (pin 1))
(node (ref D1) (pin 1))
(node (ref J5) (pin 1))
(node (ref J3) (pin S4))
(node (ref J6) (pin 1))
(node (ref U1) (pin 3))
(node (ref U1) (pin 21))
(node (ref C3) (pin 2)))
(net (code 14) (name "Net-(U1-Pad20)")
(node (ref U1) (pin 20)))
(net (code 15) (name RX)
(node (ref JP9) (pin 1))
(node (ref JP2) (pin 1))
(node (ref J5) (pin 2))
(node (ref U1) (pin 30)))
(net (code 16) (name TX)
(node (ref U1) (pin 31))
(node (ref JP7) (pin 1))
(node (ref J5) (pin 3))
(node (ref JP4) (pin 1)))
(net (code 17) (name RST)
(node (ref JP5) (pin 1))
(node (ref JP6) (pin 1))
(node (ref J5) (pin 4))
(node (ref U1) (pin 29))
(node (ref J2) (pin 5))
(node (ref R1) (pin 1)))
(net (code 18) (name "Net-(J7-PadB4A9)")
(node (ref J7) (pin B4A9)))
(net (code 19) (name "Net-(J7-PadB1A12)")
(node (ref J7) (pin B1A12)))
(net (code 20) (name "Net-(J7-PadA8)")
(node (ref J7) (pin A8)))
(net (code 21) (name "Net-(J7-PadA7)")
(node (ref J7) (pin A7)))
(net (code 22) (name "Net-(J7-PadA6)")
(node (ref J7) (pin A6)))
(net (code 23) (name "Net-(J7-PadA5)")
(node (ref J7) (pin A5)))
(net (code 24) (name "Net-(J7-PadA4B9)")
(node (ref J7) (pin A4B9)))
(net (code 25) (name "Net-(J7-PadA1B12)")
(node (ref J7) (pin A1B12)))
(net (code 26) (name "Net-(J1-Pad3)")
(node (ref J1) (pin 3)))
(net (code 27) (name "Net-(J1-Pad2)")
(node (ref J1) (pin 2)))
(net (code 28) (name "Net-(J1-Pad1)")
(node (ref J1) (pin 1)))
(net (code 29) (name "Net-(J7-PadB5)")
(node (ref J7) (pin B5)))
(net (code 30) (name XTAL2)
(node (ref U1) (pin 8))
(node (ref C2) (pin 1))
(node (ref Y1) (pin 2)))
(net (code 31) (name XTAL1)
(node (ref U1) (pin 7))
(node (ref Y1) (pin 1))
(node (ref C1) (pin 1)))
(net (code 32) (name "Net-(U2-Pad13)")
(node (ref U2) (pin 13)))
(net (code 33) (name "Net-(U2-Pad9)")
(node (ref U2) (pin 9)))
(net (code 34) (name "Net-(U2-Pad8)")
(node (ref U2) (pin 8)))
(net (code 35) (name "Net-(U2-Pad7)")
(node (ref U2) (pin 7)))
(net (code 36) (name "Net-(U2-Pad6)")
(node (ref U2) (pin 6)))
(net (code 37) (name "Net-(U2-Pad5)")
(node (ref U2) (pin 5)))
(net (code 38) (name "Net-(U2-Pad4)")
(node (ref U2) (pin 4)))
(net (code 39) (name "Net-(U2-Pad3)")
(node (ref U2) (pin 3)))
(net (code 40) (name "Net-(U2-Pad2)")
(node (ref U2) (pin 2)))
(net (code 41) (name "Net-(U2-Pad16)")
(node (ref U2) (pin 16)))
(net (code 42) (name "Net-(U2-Pad15)")
(node (ref U2) (pin 15)))
(net (code 43) (name "Net-(U2-Pad14)")
(node (ref U2) (pin 14)))
(net (code 44) (name "Net-(U2-Pad12)")
(node (ref U2) (pin 12)))
(net (code 45) (name "Net-(U2-Pad11)")
(node (ref U2) (pin 11)))
(net (code 46) (name "Net-(U2-Pad10)")
(node (ref U2) (pin 10)))
(net (code 47) (name "Net-(U2-Pad1)")
(node (ref U2) (pin 1)))
(net (code 48) (name "Net-(J7-PadS4)")
(node (ref J7) (pin S4)))
(net (code 49) (name "Net-(J7-PadS3)")
(node (ref J7) (pin S3)))
(net (code 50) (name "Net-(J7-PadS2)")
(node (ref J7) (pin S2)))
(net (code 51) (name "Net-(J7-PadS1)")
(node (ref J7) (pin S1)))
(net (code 52) (name "Net-(J7-PadB8)")
(node (ref J7) (pin B8)))
(net (code 53) (name "Net-(J7-PadB7)")
(node (ref J7) (pin B7)))
(net (code 54) (name "Net-(J7-PadB6)")
(node (ref J7) (pin B6)))
(net (code 55) (name "Net-(D1-Pad2)")
(node (ref D1) (pin 2))
(node (ref R2) (pin 1)))
(net (code 56) (name "Net-(U1-Pad22)")
(node (ref U1) (pin 22)))
(net (code 57) (name "Net-(U1-Pad19)")
(node (ref U1) (pin 19)))
(net (code 58) (name "Net-(U1-Pad14)")
(node (ref U1) (pin 14)))
(net (code 59) (name "Net-(J3-PadA8)")
(node (ref JP5) (pin 2))
(node (ref J3) (pin A8)))
(net (code 60) (name "Net-(J3-PadB8)")
(node (ref J3) (pin B8))
(node (ref JP6) (pin 2)))
(net (code 61) (name "Net-(J3-PadB5)")
(node (ref J3) (pin B5)))
(net (code 62) (name "Net-(J3-PadA5)")
(node (ref J3) (pin A5)))
(net (code 63) (name ENC1A)
(node (ref U1) (pin 32))
(node (ref J4) (pin 2)))
(net (code 64) (name BTN4)
(node (ref U1) (pin 26))
(node (ref J4) (pin 13)))
(net (code 65) (name BTN3)
(node (ref U1) (pin 25))
(node (ref J4) (pin 12)))
(net (code 66) (name BTN2)
(node (ref J4) (pin 11))
(node (ref U1) (pin 24)))
(net (code 67) (name BTN1)
(node (ref J4) (pin 10))
(node (ref U1) (pin 23)))
(net (code 68) (name ENC1B)
(node (ref U1) (pin 1))
(node (ref J4) (pin 3)))
(net (code 69) (name "Net-(J3-PadA6)")
(node (ref JP2) (pin 2))
(node (ref JP1) (pin 2))
(node (ref J3) (pin A6)))
(net (code 70) (name SCL)
(node (ref J6) (pin 3))
(node (ref JP8) (pin 1))
(node (ref JP3) (pin 1))
(node (ref U1) (pin 28)))
(net (code 71) (name "Net-(J3-PadB7)")
(node (ref J3) (pin B7))
(node (ref JP8) (pin 2))
(node (ref JP7) (pin 2)))
(net (code 72) (name "Net-(J3-PadB6)")
(node (ref JP10) (pin 2))
(node (ref JP9) (pin 2))
(node (ref J3) (pin B6)))))

View file

@ -1,29 +1,10 @@
update=22/05/2015 07:44:53
update=11.08.2021 14:06:33
version=1
last_client=kicad
[general]
version=1
RootSch=
BoardNm=
[pcbnew]
version=1
LastNetListRead=
UseCmpFile=1
PadDrill=0.600000000000
PadDrillOvalY=0.600000000000
PadSizeH=1.500000000000
PadSizeV=1.500000000000
PcbTextSizeV=1.500000000000
PcbTextSizeH=1.500000000000
PcbTextThickness=0.300000000000
ModuleTextSizeV=1.000000000000
ModuleTextSizeH=1.000000000000
ModuleTextSizeThickness=0.150000000000
SolderMaskClearance=0.000000000000
SolderMaskMinWidth=0.000000000000
DrawSegmentWidth=0.200000000000
BoardOutlineThickness=0.100000000000
ModuleOutlineThickness=0.150000000000
[cvpcb]
version=1
NetIExt=net
@ -31,3 +12,237 @@ NetIExt=net
version=1
LibDir=
[eeschema/libraries]
[schematic_editor]
version=1
PageLayoutDescrFile=
PlotDirectoryName=
SubpartIdSeparator=0
SubpartFirstId=65
NetFmtName=Pcbnew
SpiceAjustPassiveValues=0
LabSize=50
ERC_TestSimilarLabels=1
[pcbnew]
version=1
PageLayoutDescrFile=
LastNetListRead=Control.net
CopperLayerCount=2
BoardThickness=1.6
AllowMicroVias=0
AllowBlindVias=0
RequireCourtyardDefinitions=0
ProhibitOverlappingCourtyards=1
MinTrackWidth=0.2
MinViaDiameter=0.4
MinViaDrill=0.3
MinMicroViaDiameter=0.2
MinMicroViaDrill=0.09999999999999999
MinHoleToHole=0.25
TrackWidth1=0.25
ViaDiameter1=0.8
ViaDrill1=0.4
dPairWidth1=0.2
dPairGap1=0.25
dPairViaGap1=0.25
SilkLineWidth=0.12
SilkTextSizeV=1
SilkTextSizeH=1
SilkTextSizeThickness=0.15
SilkTextItalic=0
SilkTextUpright=1
CopperLineWidth=0.2
CopperTextSizeV=1.5
CopperTextSizeH=1.5
CopperTextThickness=0.3
CopperTextItalic=0
CopperTextUpright=1
EdgeCutLineWidth=0.05
CourtyardLineWidth=0.05
OthersLineWidth=0.15
OthersTextSizeV=1
OthersTextSizeH=1
OthersTextSizeThickness=0.15
OthersTextItalic=0
OthersTextUpright=1
SolderMaskClearance=0
SolderMaskMinWidth=0
SolderPasteClearance=0
SolderPasteRatio=0
[pcbnew/Layer.F.Cu]
Name=F.Cu
Type=0
Enabled=1
[pcbnew/Layer.In1.Cu]
Name=In1.Cu
Type=0
Enabled=0
[pcbnew/Layer.In2.Cu]
Name=In2.Cu
Type=0
Enabled=0
[pcbnew/Layer.In3.Cu]
Name=In3.Cu
Type=0
Enabled=0
[pcbnew/Layer.In4.Cu]
Name=In4.Cu
Type=0
Enabled=0
[pcbnew/Layer.In5.Cu]
Name=In5.Cu
Type=0
Enabled=0
[pcbnew/Layer.In6.Cu]
Name=In6.Cu
Type=0
Enabled=0
[pcbnew/Layer.In7.Cu]
Name=In7.Cu
Type=0
Enabled=0
[pcbnew/Layer.In8.Cu]
Name=In8.Cu
Type=0
Enabled=0
[pcbnew/Layer.In9.Cu]
Name=In9.Cu
Type=0
Enabled=0
[pcbnew/Layer.In10.Cu]
Name=In10.Cu
Type=0
Enabled=0
[pcbnew/Layer.In11.Cu]
Name=In11.Cu
Type=0
Enabled=0
[pcbnew/Layer.In12.Cu]
Name=In12.Cu
Type=0
Enabled=0
[pcbnew/Layer.In13.Cu]
Name=In13.Cu
Type=0
Enabled=0
[pcbnew/Layer.In14.Cu]
Name=In14.Cu
Type=0
Enabled=0
[pcbnew/Layer.In15.Cu]
Name=In15.Cu
Type=0
Enabled=0
[pcbnew/Layer.In16.Cu]
Name=In16.Cu
Type=0
Enabled=0
[pcbnew/Layer.In17.Cu]
Name=In17.Cu
Type=0
Enabled=0
[pcbnew/Layer.In18.Cu]
Name=In18.Cu
Type=0
Enabled=0
[pcbnew/Layer.In19.Cu]
Name=In19.Cu
Type=0
Enabled=0
[pcbnew/Layer.In20.Cu]
Name=In20.Cu
Type=0
Enabled=0
[pcbnew/Layer.In21.Cu]
Name=In21.Cu
Type=0
Enabled=0
[pcbnew/Layer.In22.Cu]
Name=In22.Cu
Type=0
Enabled=0
[pcbnew/Layer.In23.Cu]
Name=In23.Cu
Type=0
Enabled=0
[pcbnew/Layer.In24.Cu]
Name=In24.Cu
Type=0
Enabled=0
[pcbnew/Layer.In25.Cu]
Name=In25.Cu
Type=0
Enabled=0
[pcbnew/Layer.In26.Cu]
Name=In26.Cu
Type=0
Enabled=0
[pcbnew/Layer.In27.Cu]
Name=In27.Cu
Type=0
Enabled=0
[pcbnew/Layer.In28.Cu]
Name=In28.Cu
Type=0
Enabled=0
[pcbnew/Layer.In29.Cu]
Name=In29.Cu
Type=0
Enabled=0
[pcbnew/Layer.In30.Cu]
Name=In30.Cu
Type=0
Enabled=0
[pcbnew/Layer.B.Cu]
Name=B.Cu
Type=0
Enabled=1
[pcbnew/Layer.B.Adhes]
Enabled=1
[pcbnew/Layer.F.Adhes]
Enabled=1
[pcbnew/Layer.B.Paste]
Enabled=1
[pcbnew/Layer.F.Paste]
Enabled=1
[pcbnew/Layer.B.SilkS]
Enabled=1
[pcbnew/Layer.F.SilkS]
Enabled=1
[pcbnew/Layer.B.Mask]
Enabled=1
[pcbnew/Layer.F.Mask]
Enabled=1
[pcbnew/Layer.Dwgs.User]
Enabled=1
[pcbnew/Layer.Cmts.User]
Enabled=1
[pcbnew/Layer.Eco1.User]
Enabled=1
[pcbnew/Layer.Eco2.User]
Enabled=1
[pcbnew/Layer.Edge.Cuts]
Enabled=1
[pcbnew/Layer.Margin]
Enabled=1
[pcbnew/Layer.B.CrtYd]
Enabled=1
[pcbnew/Layer.F.CrtYd]
Enabled=1
[pcbnew/Layer.B.Fab]
Enabled=1
[pcbnew/Layer.F.Fab]
Enabled=1
[pcbnew/Layer.Rescue]
Enabled=1
[pcbnew/Netclasses]
[pcbnew/Netclasses/Default]
Name=Default
Clearance=0.2
TrackWidth=0.25
ViaDiameter=0.8
ViaDrill=0.4
uViaDiameter=0.3
uViaDrill=0.1
dPairWidth=0.2
dPairGap=0.25
dPairViaGap=0.25

File diff suppressed because it is too large Load diff

View file

@ -0,0 +1,22 @@
(module AVR-ISP (layer F.Cu) (tedit 61126B73)
(fp_text reference REF** (at 0 0) (layer F.SilkS)
(effects (font (size 0.787402 0.787402) (thickness 0.15)))
)
(fp_text value AVR-ISP (at 0 0) (layer F.Fab)
(effects (font (size 0.787402 0.787402) (thickness 0.15)))
)
(fp_circle (center -4.064 2.794) (end -3.964 2.794) (layer F.SilkS) (width 0.2))
(fp_line (start -3.81 2.54) (end -3.81 -2.54) (layer F.SilkS) (width 0.127))
(fp_line (start 3.81 2.54) (end -3.81 2.54) (layer F.SilkS) (width 0.127))
(fp_line (start 3.81 -2.54) (end 3.81 2.54) (layer F.SilkS) (width 0.127))
(fp_line (start -3.81 -2.54) (end 3.81 -2.54) (layer F.SilkS) (width 0.127))
(fp_text user ICSP (at 0 -3.302) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 4 smd circle (at 0 -1.27) (size 1.308 1.308) (layers F.Cu F.Paste F.Mask))
(pad 3 smd circle (at 0 1.27) (size 1.308 1.308) (layers F.Cu F.Paste F.Mask))
(pad 2 smd circle (at -2.54 -1.27) (size 1.308 1.308) (layers F.Cu F.Paste F.Mask))
(pad 1 smd circle (at -2.54 1.27) (size 1.308 1.308) (layers F.Cu F.Paste F.Mask))
(pad 5 smd circle (at 2.54 1.27) (size 1.308 1.308) (layers F.Cu F.Paste F.Mask))
(pad 6 smd circle (at 2.54 -1.27) (size 1.308 1.308) (layers F.Cu F.Paste F.Mask))
)

View file

@ -0,0 +1,27 @@
(module CUI_TB006-508-03BE (layer F.Cu) (tedit 6113F46A)
(descr "")
(fp_text reference REF** (at 0.905 -5.989 0) (layer F.SilkS)
(effects (font (size 1.4 1.4) (thickness 0.15)))
)
(fp_text value CUI_TB006-508-03BE (at 12.462 5.461 0) (layer F.Fab)
(effects (font (size 1.4 1.4) (thickness 0.15)))
)
(fp_line (start -2.54 4.1) (end -2.54 -4.1) (layer F.Fab) (width 0.127))
(fp_line (start -2.54 -4.1) (end 12.7 -4.1) (layer F.Fab) (width 0.127))
(fp_line (start 12.7 -4.1) (end 12.7 4.1) (layer F.Fab) (width 0.127))
(fp_line (start 12.7 4.1) (end -2.54 4.1) (layer F.Fab) (width 0.127))
(fp_line (start -2.54 4.1) (end -2.54 -4.1) (layer F.SilkS) (width 0.127))
(fp_line (start 12.7 -4.1) (end 12.7 4.1) (layer F.SilkS) (width 0.127))
(fp_line (start -2.54 -4.1) (end 12.7 -4.1) (layer F.SilkS) (width 0.127))
(fp_line (start 12.7 4.1) (end -2.54 4.1) (layer F.SilkS) (width 0.127))
(fp_line (start -2.79 -4.35) (end 12.95 -4.35) (layer F.CrtYd) (width 0.05))
(fp_line (start 12.95 4.35) (end -2.79 4.35) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.79 4.35) (end -2.79 -4.35) (layer F.CrtYd) (width 0.05))
(fp_line (start 12.95 -4.35) (end 12.95 4.35) (layer F.CrtYd) (width 0.05))
(fp_circle (center 0.0 -5.1) (end 0.1 -5.1) (layer F.SilkS) (width 0.2))
(fp_circle (center 0.0 -5.1) (end 0.1 -5.1) (layer F.Fab) (width 0.2))
(pad 1 thru_hole rect (at 0.0 0.0) (size 1.95 1.95) (drill 1.3) (layers *.Cu *.Mask))
(pad 2 thru_hole circle (at 5.08 0.0) (size 1.95 1.95) (drill 1.3) (layers *.Cu *.Mask))
(pad 3 thru_hole circle (at 10.16 0.0) (size 1.95 1.95) (drill 1.3) (layers *.Cu *.Mask))
)

View file

@ -0,0 +1,43 @@
(module HRO_TYPE-C-31-M-12 (layer F.Cu) (tedit 6112D184)
(descr "")
(fp_text reference REF** (at -1.825 -7.435 0) (layer F.SilkS)
(effects (font (size 1.0 1.0) (thickness 0.15)))
)
(fp_text value HRO_TYPE-C-31-M-12 (at 6.43 4.135 0) (layer F.Fab)
(effects (font (size 1.0 1.0) (thickness 0.15)))
)
(fp_line (start -4.47 2.6) (end 4.47 2.6) (layer F.Fab) (width 0.127))
(fp_line (start 4.47 2.6) (end 4.47 -4.7) (layer F.Fab) (width 0.127))
(fp_line (start 4.47 -4.7) (end -4.47 -4.7) (layer F.Fab) (width 0.127))
(fp_line (start -4.47 -4.7) (end -4.47 2.6) (layer F.Fab) (width 0.127))
(fp_line (start -4.47 -2.81) (end -4.47 -1.37) (layer F.SilkS) (width 0.127))
(fp_line (start 4.47 -2.81) (end 4.47 -1.37) (layer F.SilkS) (width 0.127))
(fp_line (start 4.47 1.37) (end 4.47 2.6) (layer F.SilkS) (width 0.127))
(fp_line (start 4.47 2.6) (end -4.47 2.6) (layer F.SilkS) (width 0.127))
(fp_line (start -4.47 2.6) (end -4.47 1.37) (layer F.SilkS) (width 0.127))
(fp_line (start -5.095 2.85) (end 5.095 2.85) (layer F.CrtYd) (width 0.05))
(fp_line (start 5.095 2.85) (end 5.095 -6.07) (layer F.CrtYd) (width 0.05))
(fp_line (start 5.095 -6.07) (end -5.095 -6.07) (layer F.CrtYd) (width 0.05))
(fp_line (start -5.095 -6.07) (end -5.095 2.85) (layer F.CrtYd) (width 0.05))
(fp_circle (center -3.4 -6.4) (end -3.3 -6.4) (layer F.Fab) (width 0.2))
(fp_circle (center -3.4 -6.4) (end -3.3 -6.4) (layer F.SilkS) (width 0.2))
(pad A1B12 smd rect (at -3.25 -5.095) (size 0.6 1.45) (layers F.Cu F.Mask F.Paste))
(pad A4B9 smd rect (at -2.45 -5.095) (size 0.6 1.45) (layers F.Cu F.Mask F.Paste))
(pad A6 smd rect (at -0.25 -5.095) (size 0.3 1.45) (layers F.Cu F.Mask F.Paste))
(pad B7 smd rect (at -0.75 -5.095) (size 0.3 1.45) (layers F.Cu F.Mask F.Paste))
(pad A5 smd rect (at -1.25 -5.095) (size 0.3 1.45) (layers F.Cu F.Mask F.Paste))
(pad B8 smd rect (at -1.75 -5.095) (size 0.3 1.45) (layers F.Cu F.Mask F.Paste))
(pad A7 smd rect (at 0.25 -5.095) (size 0.3 1.45) (layers F.Cu F.Mask F.Paste))
(pad B6 smd rect (at 0.75 -5.095) (size 0.3 1.45) (layers F.Cu F.Mask F.Paste))
(pad A8 smd rect (at 1.25 -5.095) (size 0.3 1.45) (layers F.Cu F.Mask F.Paste))
(pad B5 smd rect (at 1.75 -5.095) (size 0.3 1.45) (layers F.Cu F.Mask F.Paste))
(pad B4A9 smd rect (at 2.45 -5.095) (size 0.6 1.45) (layers F.Cu F.Mask F.Paste))
(pad B1A12 smd rect (at 3.25 -5.095) (size 0.6 1.45) (layers F.Cu F.Mask F.Paste))
(pad S1 thru_hole oval (at -4.32 -4.18) (size 1.05 2.1) (drill oval 0.65 1.75) (layers *.Cu *.Mask))
(pad S2 thru_hole oval (at 4.32 -4.18) (size 1.05 2.1) (drill oval 0.65 1.75) (layers *.Cu *.Mask))
(pad S3 thru_hole oval (at -4.32 0.0) (size 1.05 2.1) (drill oval 0.65 1.25) (layers *.Cu *.Mask))
(pad S4 thru_hole oval (at 4.32 0.0) (size 1.05 2.1) (drill oval 0.65 1.25) (layers *.Cu *.Mask))
(pad None np_thru_hole circle (at -2.89 -3.65) (size 0.7 0.7) (drill 0.7) (layers *.Cu *.Mask))
(pad None np_thru_hole circle (at 2.89 -3.65) (size 0.7 0.7) (drill 0.7) (layers *.Cu *.Mask))
)

View file

@ -0,0 +1,23 @@
EESchema-LIBRARY Version 2.3
#encoding utf-8
#(c) SnapEDA 2016 (snapeda.com)
#This work is licensed under a Creative Commons Attribution-ShareAlike 4.0 International License (CC BY-SA) with Design Exception 1.0
#
# TB006-508-03BE
#
DEF TB006-508-03BE J 0 40 Y Y 1 L N
F0 "J" -220 200 50 H V L BNN
F1 "TB006-508-03BE" -200 -300 50 H V L BNN
F2 "CUI_TB006-508-03BE" 0 0 50 H I L BNN
F3 "" 0 0 50 H I L BNN
F4 "Manufacturer Recommendations" 0 0 50 H I L BNN "STANDARD"
F5 "CUI" 0 0 50 H I L BNN "MANUFACTURER"
DRAW
S -200 -200 200 200 0 0 10 f
X 1 1 -400 100 200 R 40 40 0 0 P
X 2 2 -400 0 200 R 40 40 0 0 P
X 3 3 -400 -100 200 R 40 40 0 0 P
ENDDRAW
ENDDEF
#
# End Library

View file

@ -0,0 +1,3 @@
EESchema-DOCLIB Version 2.0
#
#End Doc Library

View file

@ -0,0 +1,36 @@
EESchema-LIBRARY Version 2.4
#encoding utf-8
#
# TYPE-C-31-M-12
#
DEF TYPE-C-31-M-12 J 0 40 Y Y 1 L N
F0 "J" -50 600 50 H V L BNN
F1 "TYPE-C-31-M-12" -400 520 50 H V L BNN
F2 "HRO_TYPE-C-31-M-12" 0 0 50 H I L BNN
F3 "" 0 0 50 H I L BNN
F4 "3.31mm" 0 0 50 H I L BNN "MAXIMUM_PACKAGE_HEIGHT"
F5 "Manufacturer Recommendations" 0 0 50 H I L BNN "STANDARD"
F6 "A" 0 0 50 H I L BNN "PARTREV"
F7 "HRO Electronics" 0 0 50 H I L BNN "MANUFACTURER"
DRAW
S -500 -500 500 500 0 0 10 f
X GND A1B12 -700 -400 200 R 40 40 0 0 W
X VBUS A4B9 -700 400 200 R 40 40 0 0 W
X CC1 A5 -700 200 200 R 40 40 0 0 B
X DP1 A6 -700 100 200 R 40 40 0 0 B
X DN1 A7 -700 0 200 R 40 40 0 0 B
X SBU1 A8 -700 -100 200 R 40 40 0 0 B
X GND B1A12 700 -400 200 L 40 40 0 0 W
X VBUS B4A9 700 400 200 L 40 40 0 0 W
X CC2 B5 700 -100 200 L 40 40 0 0 B
X DP2 B6 700 0 200 L 40 40 0 0 B
X DN2 B7 700 100 200 L 40 40 0 0 B
X SBU2 B8 700 200 200 L 40 40 0 0 B
X SHIELD S1 -250 -700 200 U 40 40 0 0 P
X SHIELD S2 -100 -700 200 U 40 40 0 0 P
X SHIELD S3 100 -700 200 U 40 40 0 0 P
X SHIELD S4 250 -700 200 U 40 40 0 0 P
ENDDRAW
ENDDEF
#
#End Library

View file

@ -0,0 +1,5 @@
(fp_lib_table
(lib (name CUI_TB006-508-03BE)(type KiCad)(uri ${KIPRJMOD}/Libraries/CUI_TB006-508-03BE.pretty)(options "")(descr ""))
(lib (name HRO_TYPE-C-31-M-12)(type KiCad)(uri ${KIPRJMOD}/Libraries/HRO_TYPE-C-31-M-12.pretty)(options "")(descr ""))
(lib (name AVR-ISP)(type KiCad)(uri ${KIPRJMOD}/Libraries/AVR-ISP.pretty)(options "")(descr ""))
)

View file

@ -0,0 +1,3 @@
(sym_lib_table
(lib (name TYPE-C-31-M-12)(type Legacy)(uri ${KIPRJMOD}/Libraries/TYPE-C-31-M-12.lib)(options "")(descr ""))
)